Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: convolution_encoder_VHDL Download
 Description: for 802.11a simulation WLAN FEC convolution_encoder g0=133 g1=171 Rate 0:1/2 1:2/3 2:3/4 for 802.11a simulation
 Downloaders recently: [More information of uploader 07211034]
 To Search:
File list (Check if you may need any files):
卷积码编译码的VHDL实现.pdf
    

CodeBus www.codebus.net