Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: second7-02 Download
 Description: Environment in quartusII codec chip used on the HD6408 and HD6409-driven way to achieve encoding and decoding of Manchester
 Downloaders recently: [More information of uploader zewen_1208]
 To Search:
  • [cpldcodec] - with CPLD control of Manchester codecs,
File list (Check if you may need any files):
second7-02\addr.bsf
..........\addr.v
..........\addr.v.bak
..........\altpll0.bsf
..........\altpll0.ppf
..........\altpll0.qip
..........\altpll0.v
..........\altpll0_inst.v
..........\altpll0_wave0.jpg
..........\altpll0_waveforms.html
..........\altpll1.bsf
..........\altpll1.ppf
..........\altpll1.qip
..........\altpll1.v
..........\altpll1_inst.v
..........\altpll1_wave0.jpg
..........\altpll1_waveforms.html
..........\clk.vwf
..........\clkdiv.bsf
..........\clkdiv.v
..........\clkdiv.v.bak
..........\cmp_state.ini
..........\csfifo1.bsf
..........\csfifo1.qip
..........\csfifo1.v
..........\csfifo1_inst.v
..........\csfifo1_wave0.jpg
..........\csfifo1_wave1.jpg
..........\csfifo1_waveforms.html
..........\db\add_sub_2rh.tdf
..........\..\add_sub_fub.tdf
..........\..\add_sub_hsh.tdf
..........\..\add_sub_le8.tdf
..........\..\add_sub_me8.tdf
..........\..\add_sub_ne8.tdf
..........\..\add_sub_rv7.tdf
..........\..\add_sub_sv7.tdf
..........\..\add_sub_tv7.tdf
..........\..\altsyncram_7p61.tdf
..........\..\altsyncram_7pf1.tdf
..........\..\altsyncram_jve1.tdf
..........\..\altsyncram_le71.tdf
..........\..\altsyncram_rof1.tdf
..........\..\altsyncram_tof1.tdf
..........\..\altsyncram_vof1.tdf
..........\..\alt_synch_pipe_acb.tdf
..........\..\alt_synch_pipe_hn7.tdf
..........\..\alt_synch_pipe_icb.tdf
..........\..\alt_synch_pipe_mc8.tdf
..........\..\alt_synch_pipe_nc8.tdf
..........\..\alt_synch_pipe_oc8.tdf
..........\..\alt_synch_pipe_pc8.tdf
..........\..\alt_synch_pipe_qc8.tdf
..........\..\alt_synch_pipe_rc8.tdf
..........\..\alt_synch_pipe_sc8.tdf
..........\..\alt_sync_fifo_adm.tdf
..........\..\alt_sync_fifo_lbm.tdf
..........\..\alt_sync_fifo_qbm.tdf
..........\..\a_fefifo_gtc.tdf
..........\..\a_fefifo_ltc.tdf
..........\..\a_gray2bin_p4b.tdf
..........\..\a_graycounter_6fc.tdf
..........\..\a_graycounter_7fc.tdf
..........\..\a_graycounter_g86.tdf
..........\..\a_graycounter_t06.tdf
..........\..\a_graycounter_u06.tdf
..........\..\a_graycounter_v06.tdf
..........\..\cmpr_93g.tdf
..........\..\cntr_6ta.tdf
..........\..\cntr_70j.tdf
..........\..\cntr_7ni.tdf
..........\..\cntr_7ta.tdf
..........\..\cntr_87h.tdf
..........\..\cntr_8ta.tdf
..........\..\cntr_bta.tdf
..........\..\cntr_df8.tdf
..........\..\cntr_lfi.tdf
..........\..\cntr_p7j.tdf
..........\..\dcfifo_3bj1.tdf
..........\..\dcfifo_8ei1.tdf
..........\..\dcfifo_8vi1.tdf
..........\..\dcfifo_gkh1.tdf
..........\..\dcfifo_h3j1.tdf
..........\..\dcfifo_hti1.tdf
..........\..\dcfifo_nti1.tdf
..........\..\dffpipe_8d9.tdf
..........\..\dffpipe_bo8.tdf
..........\..\dffpipe_fd9.tdf
..........\..\dffpipe_gd9.tdf
..........\..\dffpipe_hd9.tdf
..........\..\dffpipe_id9.tdf
..........\..\dffpipe_jd9.tdf
..........\..\dffpipe_kd9.tdf
..........\..\dffpipe_ld9.tdf
..........\..\dffpipe_md9.tdf
..........\..\dffpipe_nd9.tdf
..........\..\dffpipe_ngh.tdf
..........\..\dpram_n3v.tdf
..........\..\dpram_o3v.tdf
..........\..\dpram_p3v.tdf
    

CodeBus www.codebus.net