Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: CPU Download
 Description: CPU design a complete water, quartus platform, Verilog realization
 Downloaders recently: [More information of uploader unclereason]
 To Search:
  • [CPU] - Complete a multi-cycle CPU design, quart
  • [duozhouqiCPU] - VHDL design of multi-cycle CPU. Quartus
File list (Check if you may need any files):
流水线CPU\Adder.v
.........\ALU.v
.........\Barrel_Shifter.v
.........\BranchCond.v
.........\Coder.v
.........\CPUcontrol.v
.........\db\altsyncram_07k1.tdf
.........\..\altsyncram_2kj1.tdf
.........\..\altsyncram_7se1.tdf
.........\..\altsyncram_99e1.tdf
.........\..\altsyncram_q3o1.tdf
.........\..\PipelineCPU.db_info
.........\..\PipelineCPU_global_asgn_op.abo
.........\..\prev_cmp_PipelineCPU.asm.qmsg
.........\..\prev_cmp_PipelineCPU.fit.qmsg
.........\..\prev_cmp_PipelineCPU.map.qmsg
.........\..\prev_cmp_PipelineCPU.qmsg
.........\..\prev_cmp_PipelineCPU.sim.qmsg
.........\..\prev_cmp_PipelineCPU.tan.qmsg
.........\..\wed.wsf
.........\incremental_db\compiled_partitions\PipelineCPU.root_partition.cmp.atm
.........\..............\...................\PipelineCPU.root_partition.cmp.dfp
.........\..............\...................\PipelineCPU.root_partition.cmp.hdbx
.........\..............\...................\PipelineCPU.root_partition.cmp.kpt
.........\..............\...................\PipelineCPU.root_partition.cmp.logdb
.........\..............\...................\PipelineCPU.root_partition.cmp.rcf
.........\..............\...................\PipelineCPU.root_partition.map.atm
.........\..............\...................\PipelineCPU.root_partition.map.dpi
.........\..............\...................\PipelineCPU.root_partition.map.hdbx
.........\..............\...................\PipelineCPU.root_partition.map.kpt
.........\..............\README
.........\LwSwControl.v
.........\PipelineCPU.qpf
.........\PipelineCPU.qsf
.........\PipelineCPU.qws
.........\PipelineCPU.v
.........\PipelineCPU.vwf
.........\PipelineRegisters.v
.........\Register.v
.........\..lease\PipelineCPU.asm.rpt
.........\.......\PipelineCPU.done
.........\.......\PipelineCPU.fit.rpt
.........\.......\PipelineCPU.fit.smsg
.........\.......\PipelineCPU.fit.summary
.........\.......\PipelineCPU.flow.rpt
.........\.......\PipelineCPU.map.rpt
.........\.......\PipelineCPU.map.summary
.........\.......\PipelineCPU.pin
.........\.......\PipelineCPU.pof
.........\.......\PipelineCPU.sim.rpt
.........\.......\PipelineCPU.sof
.........\.......\PipelineCPU.tan.rpt
.........\.......\PipelineCPU.tan.summary
.........\incremental_db\compiled_partitions
.........\db
.........\incremental_db
.........\Release
流水线CPU
    

CodeBus www.codebus.net