Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: seg7 Download
 Description: VHDL language based on seven-segment LED display solution that can serve as a reference
 To Search:
  • [display] - Written in VHDL language using a seven-s
File list (Check if you may need any files):
seg7\bin27seg.bsf
....\bin27seg.vhd
....\cont.vhd
....\lpm_counter0.bsf
....\lpm_counter0.vhd
....\seg7.asm.rpt
....\seg7.bdf
....\seg7.done
....\seg7.fit.eqn
....\seg7.fit.rpt
....\seg7.fit.summary
....\seg7.flow.rpt
....\seg7.map.eqn
....\seg7.map.rpt
....\seg7.map.summary
....\seg7.pin
....\seg7.pof
....\seg7.qpf
....\seg7.qsf
....\seg7.tan.rpt
....\seg7.tan.summary
....\seg7_assignment_defaults.qdf
....\segmain.bsf
....\segmain.vhd
....\setup.tcl
....\subcountor.bsf
....\subcountor.vhd
....\db\cntr_7mh.tdf
....\..\seg7.db_info
....\..\seg7.sld_design_entry.sci
....\..\seg7.eco.cdb
....\seg7.dpf
....\seg7.qws
....\db
seg7
    

CodeBus www.codebus.net