Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: ps_2_keyboard Download
 Description: VHDL language with the ps2 keyboard program, you can experiment in the de2 board to run. For beginners
File list (Check if you may need any files):
ps_2_keyboard\char_mode.bsf
.............\char_to_lcd.vhd
.............\clock.bsf
.............\clock.vhd
.............\clock_ps2.bsf
.............\clock_ps2.vhd
.............\control.bsf
.............\db\altsyncram_65v.tdf
.............\..\altsyncram_a5v.tdf
.............\..\altsyncram_miv.tdf
.............\..\keyboard.asm.qmsg
.............\..\keyboard.asm_labs.ddb
.............\..\keyboard.cbx.xml
.............\..\keyboard.cmp.bpm
.............\..\keyboard.cmp.cdb
.............\..\keyboard.cmp.ecobp
.............\..\keyboard.cmp.hdb
.............\..\keyboard.cmp.logdb
.............\..\keyboard.cmp.rdb
.............\..\keyboard.cmp.tdb
.............\..\keyboard.cmp0.ddb
.............\..\keyboard.cmp_bb.cdb
.............\..\keyboard.cmp_bb.hdb
.............\..\keyboard.cmp_bb.logdb
.............\..\keyboard.cmp_bb.rcf
.............\..\keyboard.dbp
.............\..\keyboard.db_info
.............\..\keyboard.eco.cdb
.............\..\keyboard.eds_overflow
.............\..\keyboard.fit.qmsg
.............\..\keyboard.fnsim.hdb
.............\..\keyboard.fnsim.qmsg
.............\..\keyboard.hier_info
.............\..\keyboard.hif
.............\..\keyboard.map.bpm
.............\..\keyboard.map.cdb
.............\..\keyboard.map.ecobp
.............\..\keyboard.map.hdb
.............\..\keyboard.map.logdb
.............\..\keyboard.map.qmsg
.............\..\keyboard.map_bb.cdb
.............\..\keyboard.map_bb.hdb
.............\..\keyboard.map_bb.logdb
.............\..\keyboard.pre_map.cdb
.............\..\keyboard.pre_map.hdb
.............\..\keyboard.psp
.............\..\keyboard.pss
.............\..\keyboard.rtlv.hdb
.............\..\keyboard.rtlv_sg.cdb
.............\..\keyboard.rtlv_sg_swap.cdb
.............\..\keyboard.sgdiff.cdb
.............\..\keyboard.sgdiff.hdb
.............\..\keyboard.signalprobe.cdb
.............\..\keyboard.sim.cvwf
.............\..\keyboard.sim.hdb
.............\..\keyboard.sim.qmsg
.............\..\keyboard.sim.rdb
.............\..\keyboard.simfam
.............\..\keyboard.sld_design_entry.sci
.............\..\keyboard.sld_design_entry_dsc.sci
.............\..\keyboard.smp_dump.txt
.............\..\keyboard.syn_hier_info
.............\..\keyboard.tan.qmsg
.............\..\keyboard.tis_db_list.ddb
.............\..\keyboard0.rtl.mif
.............\..\mux_dqc.tdf
.............\..\prev_cmp_keyboard.asm.qmsg
.............\..\prev_cmp_keyboard.fit.qmsg
.............\..\prev_cmp_keyboard.map.qmsg
.............\..\prev_cmp_keyboard.qmsg
.............\..\prev_cmp_keyboard.sim.qmsg
.............\..\prev_cmp_keyboard.tan.qmsg
.............\..\ps2_10.rtl.mif
.............\..\wed.wsf
.............\keyboard.asm.rpt
.............\keyboard.bsf
.............\keyboard.cdf
.............\keyboard.done
.............\keyboard.fit.rpt
.............\keyboard.fit.smsg
.............\keyboard.fit.summary
.............\keyboard.flow.rpt
.............\keyboard.map.rpt
.............\keyboard.map.summary
.............\keyboard.pin
.............\keyboard.pof
.............\keyboard.qpf
.............\keyboard.qsf
.............\keyboard.qws
.............\keyboard.sim.rpt
.............\keyboard.sof
.............\keyboard.tan.rpt
.............\keyboard.tan.summary
.............\keyboard.vhd
.............\keyboard.vwf
.............\key_1.vwf
.............\ps2_1.bdf
.............\waveperl.log
.............\write_to_lcd.vhd
.............\db
    

CodeBus www.codebus.net