Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: VGA_v Download
 Description: module VGA(CLK_50,RST_N,VGA_HS,VGA_VS1,VGA_BLANK, VGA_CLK,VGA_SYNC,VGA_R,VGA_G,VGA_B) input CLK_50 input RST_N //////////////////////// VGA //////////////////////////// output VGA_CLK // VGA Clock output VGA_HS // VGA H_SYNC output VGA_VS1 // VGA V_SYNC output VGA_BLANK // VGA BLANK output VGA_SYNC // VGA SYNC output [9:0] VGA_R // VGA Red[9:0] output [9:0] VGA_G // VGA Green[9:0] output [9:0] VGA_B // VGA Blue[9:0]
 Downloaders recently: [More information of uploader linjinhong07]
 To Search: vga clock cyclone
File list (Check if you may need any files):
VGA_v\setup.tcl
.....\setup.tcl.bak
.....\VGA.bdf
.....\VGA.cdf
.....\VGA.done
.....\VGA.fit.eqn
.....\VGA.fit.smsg
.....\VGA.fit.summary
.....\VGA.map.eqn
.....\VGA.map.smsg
.....\VGA.map.summary
.....\VGA.pin
.....\VGA.pof
.....\VGA.qpf
.....\VGA.qsf
.....\VGA.sof
.....\VGA.tan.summary
.....\VGAsignal.bsf
.....\VGAsignal.v
.....\VGAsignal.v.bak
.....\VGA_assignment_defaults.qdf
.....\VGA_Ctrl.v
.....\VGA时序.pdf
.....\db\VGA.db_info
.....\..\VGA.cbx.xml
.....\..\VGA.hif
.....\..\VGA.hier_info
.....\..\VGA.syn_hier_info
.....\..\prev_cmp_VGA.map.qmsg
.....\..\prev_cmp_VGA.fit.qmsg
.....\..\prev_cmp_VGA.asm.qmsg
.....\..\prev_cmp_VGA.tan.qmsg
.....\..\prev_cmp_VGA.qmsg
.....\..\VGA.map.qmsg
.....\..\VGA.rtlv_sg.cdb
.....\..\VGA.rtlv.hdb
.....\..\VGA.rtlv_sg_swap.cdb
.....\..\VGA.pre_map.hdb
.....\..\VGA.pre_map.cdb
.....\..\VGA.map.logdb
.....\..\VGA.sgdiff.cdb
.....\..\VGA.sgdiff.hdb
.....\..\VGA.sld_design_entry_dsc.sci
.....\..\VGA.map.cdb
.....\..\VGA.map.hdb
.....\..\VGA.fit.qmsg
.....\..\VGA.cmp.logdb
.....\..\VGA.cmp2.ddb
.....\..\VGA.tis_db_list.ddb
.....\..\VGA.asm.qmsg
.....\..\VGA.asm_labs.ddb
.....\..\VGA.tan.qmsg
.....\..\VGA.cmp.tdb
.....\..\VGA.cmp0.ddb
.....\..\VGA.cmp.cdb
.....\..\VGA.signalprobe.cdb
.....\..\VGA.cmp.hdb
.....\..\VGA.cmp.rdb
.....\..\VGA.tmw_info
.....\..\VGA.sld_design_entry.sci
.....\..\VGA.eco.cdb
.....\VGA.dpf
.....\VGA.map.rpt
.....\VGA.fit.rpt
.....\VGA.asm.rpt
.....\VGA.tan.rpt
.....\VGA.flow.rpt
.....\pll_waveforms.html
.....\pll_wave0.jpg
.....\pll.ppf
.....\pll.v
.....\pll.qip
.....\VGA.qws
.....\db
VGA_v
    

CodeBus www.codebus.net