Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: HDB3 Download
 Description: HDB3 decoer
 Downloaders recently: [More information of uploader wrd2008king]
 To Search: hdb3
  • [HDB3] - NRZ code to HDB3 code using VerilogHDL
  • [hdb3] - hdb3 AMI
  • [HDB3] - the decoder and encoder based on FPGA
  • [HDB3] - Detailed HDB3 code generation, encoding
File list (Check if you may need any files):
HDB3\HDB3编码规则.ppt
....\XC9572的HDB3编解码doc
....\设计思想.doc
HDB3
    

CodeBus www.codebus.net