Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: aianxiaodou Download
 Description: Vhdl language used to achieve the elimination of key Buffeting to eliminate jitter button on the system caused by misjudgment
 Downloaders recently: [More information of uploader jayi1109]
 To Search:
  • [bcount] - take count of clock
  • [key_xiaodou] - The information is written in the key co
File list (Check if you may need any files):
a按键消抖
.........\db
.........\..\add_sub_sah.tdf
.........\..\debounce.cbx.xml
.........\..\debounce.cmp.rdb
.........\..\debounce.dbp
.........\..\debounce.db_info
.........\..\debounce.eco.cdb
.........\..\debounce.hier_info
.........\..\debounce.hif
.........\..\debounce.map.cdb
.........\..\debounce.map.hdb
.........\..\debounce.map.logdb
.........\..\debounce.map.qmsg
.........\..\debounce.pre_map.cdb
.........\..\debounce.pre_map.hdb
.........\..\debounce.psp
.........\..\debounce.pss
.........\..\debounce.rtlv.hdb
.........\..\debounce.rtlv_sg.cdb
.........\..\debounce.rtlv_sg_swap.cdb
.........\..\debounce.sgdiff.cdb
.........\..\debounce.sgdiff.hdb
.........\..\debounce.sld_design_entry.sci
.........\..\debounce.sld_design_entry_dsc.sci
.........\..\debounce.syn_hier_info
.........\..\debounce.tis_db_list.ddb
.........\debounce.done
.........\debounce.flow.rpt
.........\debounce.map.rpt
.........\debounce.map.summary
.........\debounce.qpf
.........\debounce.qsf
.........\debounce.qws
.........\debounce.vhd
    

CodeBus www.codebus.net