Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: Quartus_II_called_ModelSim_simulation Download
 Description: BJ-EPM240V2 experimental test routines as well as documentation of the Quartus II 15 ModelSim simulation calls
 Downloaders recently: [More information of uploader wangiswang]
 To Search: quartus models
File list (Check if you may need any files):
15、Quartus II调用ModelSim仿真实例
..................................\modelsim_test
..................................\.............\db
..................................\.............\..\modelsim_test.asm.qmsg
..................................\.............\..\modelsim_test.cbx.xml
..................................\.............\..\modelsim_test.cmp.cdb
..................................\.............\..\modelsim_test.cmp.hdb
..................................\.............\..\modelsim_test.cmp.logdb
..................................\.............\..\modelsim_test.cmp.rdb
..................................\.............\..\modelsim_test.cmp.tdb
..................................\.............\..\modelsim_test.cmp0.ddb
..................................\.............\..\modelsim_test.dbp
..................................\.............\..\modelsim_test.db_info
..................................\.............\..\modelsim_test.eco.cdb
..................................\.............\..\modelsim_test.eda.qmsg
..................................\.............\..\modelsim_test.fit.qmsg
..................................\.............\..\modelsim_test.hier_info
..................................\.............\..\modelsim_test.hif
..................................\.............\..\modelsim_test.map.cdb
..................................\.............\..\modelsim_test.map.hdb
..................................\.............\..\modelsim_test.map.logdb
..................................\.............\..\modelsim_test.map.qmsg
..................................\.............\..\modelsim_test.pre_map.cdb
..................................\.............\..\modelsim_test.pre_map.hdb
..................................\.............\..\modelsim_test.psp
..................................\.............\..\modelsim_test.pss
..................................\.............\..\modelsim_test.rtlv.hdb
..................................\.............\..\modelsim_test.rtlv_sg.cdb
..................................\.............\..\modelsim_test.rtlv_sg_swap.cdb
..................................\.............\..\modelsim_test.sgdiff.cdb
..................................\.............\..\modelsim_test.sgdiff.hdb
..................................\.............\..\modelsim_test.signalprobe.cdb
..................................\.............\..\modelsim_test.sld_design_entry.sci
..................................\.............\..\modelsim_test.sld_design_entry_dsc.sci
..................................\.............\..\modelsim_test.syn_hier_info
..................................\.............\..\modelsim_test.tan.qmsg
..................................\.............\modelsim_test.asm.rpt
..................................\.............\modelsim_test.done
..................................\.............\modelsim_test.eda.rpt
..................................\.............\modelsim_test.fit.rpt
..................................\.............\modelsim_test.fit.smsg
..................................\.............\modelsim_test.fit.summary
..................................\.............\modelsim_test.flow.rpt
..................................\.............\modelsim_test.map.rpt
..................................\.............\modelsim_test.map.summary
..................................\.............\modelsim_test.pin
..................................\.............\modelsim_test.qpf
..................................\.............\modelsim_test.qsf
..................................\.............\modelsim_test.qws
..................................\.............\modelsim_test.tan.rpt
..................................\.............\modelsim_test.tan.summary
..................................\.............\modelsim_test.v
..................................\.............\simulation
..................................\.............\..........\modelsim
..................................\.............\..........\........\maxii_atoms.v
..................................\.............\..........\........\modelsim_test.vo
...........................

CodeBus www.codebus.net