Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: SOPC_Builder Download
 Description: based FPGA , SOPC construct experiment
 Downloaders recently: [More information of uploader zhaoqian1818]
File list (Check if you may need any files):
SOPC_Builder
............\Component
............\.........\Audio_DAC_FIFO
............\.........\..............\cb_generator.pl
............\.........\..............\class.ptf
............\.........\..............\hdl
............\.........\..............\...\AUDIO_DAC_FIFO.v
............\.........\..............\...\FIFO_16_256.v
............\.........\Binary_VGA_Controller
............\.........\.....................\cb_generator.pl
............\.........\.....................\class.ptf
............\.........\.....................\hdl
............\.........\.....................\...\Img_DATA.hex
............\.........\.....................\...\Img_RAM.v
............\.........\.....................\...\VGA_Controller.v
............\.........\.....................\...\VGA_NIOS_CTRL.v
............\.........\.....................\...\VGA_OSD_RAM.v
............\.........\.....................\...\VGA_Param.h
............\.........\.....................\inc
............\.........\.....................\...\VGA.c
............\.........\.....................\...\VGA.h
............\.........\DM9000A
............\.........\.......\cb_generator.pl
............\.........\.......\class.ptf
............\.........\.......\hdl
............\.........\.......\...\DM9000A_IF.v
............\.........\.......\inc
............\.........\.......\...\basic_io.h
............\.........\.......\...\DM9000A.C
............\.........\.......\...\DM9000A.H
............\.........\ISP1362
............\.........\.......\cb_generator.pl
............\.........\.......\class.ptf
............\.........\.......\hdl
............\.........\.......\...\ISP1362_IF.v
............\.........\.......\inc
............\.........\.......\...\BASICTYP.H
............\.........\.......\...\COMMON.H
............\.........\.......\...\HAL4D13.C
............\.........\.......\...\HAL4D13.H
............\.........\.......\...\usb_irq.c
............\.........\.......\...\usb_irq.h
............\.........\SEG7_LUT_8
............\.........\..........\cb_generator.pl
............\.........\..........\class.ptf
............\.........\..........\hdl
............\.........\..........\...\SEG7_LUT.v
............\.........\..........\...\SEG7_LUT_8.v
............\.........\..........\inc
............\.........\..........\...\basic_io.h
............\.........\SRAM_16Bit_512K
............\.........\...............\cb_generator.pl
............\.........\...............\class.ptf
............\.........\...............\hdl
............\.........\...............\...\SRAM_16Bit_512K.v
............\Reference_Design
............\................\DE2_NIOS
............\................\........\altpllpll_0.ppf
............\................\........\Audio_0.v
............\................\........\Audio_DAC_FIFO
............\................\........\..............\cb_generator.pl
............\................\........\..............\class.ptf
............\................\........\..............\hdl
............\................\........\..............\...\AUDIO_DAC_FIFO.v
............\................\........\..............\...\FIFO_16_256.v
............\................\........\AUDIO_DAC_FIFO.v
............\................\........\Audio_PLL.ppf
............\................\........\Audio_PLL.v
............\................\........\bht_ram.mif
............\................\........\Binary_VGA_Controller
............\................\........\.....................\cb_generator.pl
............\................\........\.....................\class.ptf
............\................\........\.....................\hdl
............\................\........\.....................\...\Img_DATA.hex
............\................\........\.....................\...\Img_RAM.v
............\................\........\.....................\...\VGA_Controller.v
............\................\........\.....................\...\VGA_NIOS_CTRL.v
............\................\........\.....................\...\VGA_OSD_RAM.v
............\................\........\.....................\...\VGA_Param.h
............\..

CodeBus www.codebus.net