Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop SCM
Title: 002-KEY-LED Download
 Description: KEY key input LED light-emitting diode display experiment. 1, I/O port experiments, testing the key state of PE mouth, and then displayed on the PB mouth. 2, the internal 1 M oscillator, the program single-task mode, the software delay. 3, to conduct this experiment plug in the LED short block. 4, this experiment to make people understand the most basic IO port input and output method.
 To Search:
File list (Check if you may need any files):
002-KEY-LED
...........\02key.cof
...........\02key.dbg
...........\02key.hex
...........\02key.lk
...........\02key.lst
...........\02key.mak
...........\02key.mp
...........\02key.prj
...........\02KEY.SRC
...........\iom128v.h
...........\main.c
...........\main.dp2
...........\main.lis
...........\main.o
...........\main.s
...........\main._c
...........\readme.txt
    

CodeBus www.codebus.net