Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop SCM
Title: VHDLAVRIPcore Download
 Description: Written in VHDL language using the AVR microcontroller IP core, can be used directly, has proven very valuable oh
 To Search: AVR core VHDL
  • [AVR_Core] - AVR microcontroller core, and the actual
File list (Check if you may need any files):
VHDL语言编写的AVR单片机IP核
...........................\VHDL语言编写的AVR单片机IP核
...........................\...........................\AVR_Core
...........................\...........................\........\Converters
...........................\...........................\........\..........\CVS
...........................\...........................\........\..........\...\Entries
...........................\...........................\........\..........\...\Repository
...........................\...........................\........\..........\...\Root
...........................\...........................\........\..........\GNR2VHD.EXE
...........................\...........................\........\..........\HEX2JAM.EXE
...........................\...........................\........\CVS
...........................\...........................\........\...\Entries
...........................\...........................\........\...\Repository
...........................\...........................\........\...\Root
...........................\...........................\........\Doc
...........................\...........................\........\...\AVRuCDescriptionSimul.doc
...........................\...........................\........\...\CVS
...........................\...........................\........\...\...\Entries
...........................\...........................\........\...\...\Repository
...........................\...........................\........\...\...\Root
...........................\...........................\........\VHDL
...........................\...........................\........\....\alu_avr.vhd
...........................\...........................\........\....\AVRuCPackage.vhd
...........................\...........................\........\....\avr_core.vhd
...........................\...........................\........\....\bit_processor.vhd
...........................\...........................\........\....\CPUWaitGenerator.vhd
...........................\...........................\........\....\CVS
...........................\...........................\........\....\...\Entries
...........................\...........................\........\....\...\Repository
...........................\...........................\........\....\...\Root
...........................\...........................\........\....\DataRAM.vhd
...........................\...........................\........\....\external_mux.vhd
...........................\...........................\........\....\io_adr_dec.vhd
...........................\...........................\........\....\io_reg_file.vhd
...........................\...........................\........\....\pm_fetch_dec.vhd
...........................\...........................\........\....\portx.vhd
...........................\...........................\........\....\PROM.VHD
...........................\...........................\........\....\RAMDataReg.vhd
...........................\...........................\........\....\reg_file.vhd
...........................\...........................\........\....\Service_Module.vhd
...........................\...........................\........\....\simple_timer.vhd
...........................\...........................\........\....\Timer_Counter.vhd
...........................\...........................\........\....\top_avr_core_sim.vhd
...........................\...........................\........\....\uart.vhd
...........................\使用说明请参看右侧注释====〉〉.txt
    

CodeBus www.codebus.net