Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: S10_PS2_RS232 Download
 Description: Keyboard input serial output display characters, the keyboard input through serial display characters
 Downloaders recently: [More information of uploader sudy1114]
  • [lcdkeyboard] - use 51 microcomputer, keyboard input, an
  • [ref-ddr-sdram-vhdl] - this procedure is DDR SDRAM controller V
  • [keyq] - FPGA is the first keyboard to use the pr
  • [ps2_soc1] - ps2 keyboard controller
  • [S9_PS2_LCD] - Keyboard input LCD display module charac
  • [bwlabel] - vc++ call matlab function Matlab binary
  • [12] - lcd multi-level menu
  • [S13_VIDEO_IN_AV] - AV video signal input into the SDRAM in
  • [51] - Single-chip keil 51 countdown
File list (Check if you may need any files):
S10_PS2_RS232
.............\Doc
.............\...\ps2接口标准.pdf
.............\...\说明.txt
.............\Proj
.............\....\cmp_state.ini
.............\....\data_buf.bsf
.............\....\data_buf.v
.............\....\db
.............\....\..\altsyncram_9l82.tdf
.............\....\..\altsyncram_bl82.tdf
.............\....\..\altsyncram_bo82.tdf
.............\....\..\altsyncram_do82.tdf
.............\....\..\altsyncram_fo82.tdf
.............\....\..\altsyncram_ji82.tdf
.............\....\..\altsyncram_vh92.tdf
.............\....\..\cntr_1r9.tdf
.............\....\..\cntr_419.tdf
.............\....\..\cntr_4b7.tdf
.............\....\..\cntr_618.tdf
.............\....\..\cntr_918.tdf
.............\....\..\cntr_929.tdf
.............\....\..\cntr_av7.tdf
.............\....\..\cntr_bv7.tdf
.............\....\..\cntr_cn8.tdf
.............\....\..\cntr_cv7.tdf
.............\....\..\cntr_ea7.tdf
.............\....\..\cntr_ev7.tdf
.............\....\..\cntr_f29.tdf
.............\....\..\cntr_gd8.tdf
.............\....\..\cntr_ha7.tdf
.............\....\..\cntr_ia7.tdf
.............\....\..\cntr_ln7.tdf
.............\....\..\cntr_nk7.tdf
.............\....\..\cntr_no8.tdf
.............\....\..\cntr_nt7.tdf
.............\....\..\cntr_nv7.tdf
.............\....\..\cntr_qu7.tdf
.............\....\..\cntr_rv7.tdf
.............\....\..\cntr_sb7.tdf
.............\....\..\cntr_vt9.tdf
.............\....\..\decode_9ie.tdf
.............\....\..\KEYBAORD.smp_dump.txt
.............\....\..\MOUSE.db_info
.............\....\..\ps2_keyboard_interface.asm.qmsg
.............\....\..\ps2_keyboard_interface.asm_labs.ddb
.............\....\..\ps2_keyboard_interface.cbx.xml
.............\....\..\ps2_keyboard_interface.cmp.cdb
.............\....\..\ps2_keyboard_interface.cmp.hdb
.............\....\..\ps2_keyboard_interface.cmp.logdb
.............\....\..\ps2_keyboard_interface.cmp.rdb
.............\....\..\ps2_keyboard_interface.cmp.tdb
.............\....\..\ps2_keyboard_interface.cmp0.ddb
.............\....\..\ps2_keyboard_interface.cmp2.ddb
.............\....\..\ps2_keyboard_interface.db_info
.............\....\..\ps2_keyboard_interface.eco.cdb
.............\....\..\ps2_keyboard_interface.fit.qmsg
.............\....\..\ps2_keyboard_interface.hier_info
.............\....\..\ps2_keyboard_interface.hif
.............\....\..\ps2_keyboard_interface.map.cdb
.............\....\..\ps2_keyboard_interface.map.hdb
.............\....\..\ps2_keyboard_interface.map.logdb
.............\....\..\ps2_keyboard_interface.map.qmsg
.............\....\..\ps2_keyboard_interface.pre_map.cdb
.............\....\..\ps2_keyboard_interface.pre_map.hdb
.............\....\..\ps2_keyboard_interface.psp
.............\....\..\ps2_keyboard_interface.rtlv.hdb
.............\....\..\ps2_keyboard_interface.rtlv_sg.cdb
.............\....\..\ps2_keyboard_interface.rtlv_sg_swap.cdb
.............\....\..\ps2_keyboard_interface.sgdiff.cdb
.............\....\..\ps2_keyboard_interface.sgdiff.hdb
.............\....\..\ps2_keyboard_interface.signalprobe.cdb
.............\....\..\ps2_keyboard_interface.sld_design_entry_dsc.sci
.............\....\..\ps2_keyboard_interface.syn_hier_info
.............\....\..\ps2_keyboard_interface.tan.qmsg
.............\....\..\ps2_keyboard_interface_cmp.qrpt
.............\....\..\ps2_mouse.db_info
.............\....\..\ps2_mouse.eco.cdb
.............\....\..\ps2_mouse_cmp.qrpt
.............\....\div_256.bsf
.............\....\div_256.v
.............\....\div_4.bsf
.............\....\div_4.v
.............\....\KEYBAORD.qpf
.............\....\KEYBAORD.qws
.............\....\ps2_keyboard.bdf
.............\....\ps2_keyboard.bsf
.............\....\ps2_keyboard_interface.asm.rpt
.............\....\ps2_keyboard_interface.bsf
.............\....\ps2_keyboard_interface.cdf
.............\....\ps2_keyboard_interface.done
.............\....\ps2_keyboard_interface.fit.eqn
.............\....\ps2_keyboard_interface.fit.rpt
.............\....\ps2_keyboard_interface.fit.summary
.............\....\ps2_keyboard_interface.flow.rpt
.............\....\ps2_keyboard_interface.map.eqn

CodeBus www.codebus.net