Welcome![Sign In][Sign Up]
Location:
Downloads SourceCode Embeded-SCM Develop VHDL-FPGA-Verilog
Title: Intel8251 Download
 Description: Intel 8251 with VHDL realization of the UART Function
 Downloaders recently: [More information of uploader ]
  • [A8251] - 8251 port initialization definition incl
  • [ISE_uart] - in ISE using VHDL was the UART, simple,
  • [8251_OSED] - Using VHDL language programmable chip se
  • [usart_verilog] - Universal Serial Asynchronous Receiver T
  • [uart_v11] - serial UART VHDL Language Program. I deb
  • [iul] - 8.1 programmable parallel interface chip
  • [FPGA_VHDL_code] - FPGA to learn very valuable information,
  • [8251_OSED] - vhdl implementation of 8251 all of the f
File list (Check if you may need any files):
用VHDL实现Intel 8251的UART功能.pdf
    

CodeBus www.codebus.net