Welcome![Sign In][Sign Up]
Location:
Downloads Other resource
Title: cordic Download
  • Category:
  • Data structs
  • Tags:
  • File Size:
  • 2.25kb
  • Update:
  • 2008-10-13
  • Downloads:
  • 1 Times
  • Uploaded by:
  • greatpeace
 Description: The VHDL implementation of the good cordic algorithm is of great reference value
 Downloaders recently: [More information of uploader greatpeace]
 To Search: CORDIC cordic vhdl
  • [XPwebsite.Rar] - friends must look at the hacking guides,
  • [CORDIChdl] - coridic VHDL is willing to have procedur
  • [fftfpga] - time selected by using the in-situ-4 alg
  • [IEEE80211a] - 802.11a OFDM MATLAB simulation code! (re
  • [cordic] - Count beyond the function of the CORDIC
  • [CORDIC] - CORDIC algorithm, contains all of the CO
  • [cordic] - CORDIC FPGA using the Verilog procedures
  • [cordic] - Digital signal processing to achieve the
File list (Check if you may need any files):

CodeBus www.codebus.net