Welcome![Sign In][Sign Up]
Location:
Downloads Other resource
Title: taxi_counter Download
 Description: a taxi prepared by the accounting device, starting six yuan or 2 km, then every half kilometer or 0.8 yuan, stopping to wait for every 2.5 minutes or 0.8 yuan. Through simulation, but not download to test CPLD
 Downloaders recently: [More information of uploader shangfz]
 To Search: taxi vhdl altera cpld
  • [EDAchuzuchejijia] - in this sample program, using VHDL of th
  • [VHDLnf] - VHDL arbitrary integer frequency,-- n as
  • [qtbook-examples] - qt code is a very good qt the source cod
  • [NET430] - This is a use of MSP430 Internet connect
  • [chuzuchejifeixitong] - Experimental Report taxi billing system,
  • [81] - Taximeter design (if necessary can see)
  • [czcjjq] - The use of Quartus II design and product
  • [12864LCD] - Calendar+ Temperature+ 12864LCD, 51 sing
  • [designtaxi_25] - Taxi meter, VHDL realization of digital
File list (Check if you may need any files):

CodeBus www.codebus.net