Welcome![Sign In][Sign Up]
Location:
Downloads Other resource
Title: chengxu Download
 Description: MaxplusII used in the VHDL language programming realization of digital base-band signal synchronization extraction, is a password input and modify the examples. Experimental box in the hardware connection, and will download to the main chip to complete.
 Downloaders recently: [More information of uploader lei_yonkon]
 To Search:
File list (Check if you may need any files):

CodeBus www.codebus.net