Welcome![Sign In][Sign Up]
Location:
Search - 计数器

Search list

[ASP网站计数器asp源代码

Description:

网站计数器,支持多用户,文本格式返回计数值,方便二次处理。用后台管理部分,适合与ASP、SQL研究之用。


Platform: | Size: 16486 | Author: qiaozhifeng | Hits:

[ASP多用户计数器

Description:

asp 多用户计数器

完美无错版


Platform: | Size: 980759 | Author: aaacopy | Hits:

[VHDL-FPGA-Verilog12进制计数器

Description: 应用VHDL语言编写十二进制计数器
Platform: | Size: 10050 | Author: 521feijiepeng@163.com | Hits:

[VHDL-FPGA-Verilog7位二进制计数器

Description: 应用VHDL语言编写设计一个带计数使能、异步复位、同步装载的可逆七位二进制计数器,计数结果由共阴极七段数码管显示
Platform: | Size: 10435 | Author: 521feijiepeng@163.com | Hits:

[VHDL-FPGA-Verilog增量式光电编码器计数器 Verilog 程序

Description: 增量式光电编码器计数器 Verilog 的程序,附源 Verilog 的代码.
Platform: | Size: 1120 | Author: xyz543 | Hits:

[Multimedia programflash_asp在线计数器

Description: 在线计数器FLASH-Online Counter FLASH
Platform: | Size: 13312 | Author: ye | Hits:

[JSP/JavaJSP+JAVA BEAN实现的计数器程序

Description: JSP+JAVA BEAN实现的计数器程序-JSP Java Beans procedures to achieve the Counter
Platform: | Size: 1024 | Author: shilongli21 | Hits:

[SCM计数器程序

Description: 单片机计数器程序。-counter SCM procedures.
Platform: | Size: 5120 | Author: 木子 | Hits:

[Other飞鸟计数器v1.0

Description: asp 和 flash 的完美结合,含7种计数器样式-asp flash and the perfect combination, with seven species of counter styles
Platform: | Size: 571392 | Author: 李宗波 | Hits:

[Windows Develop计数器

Description: 多功能计数器(windows)-multifunctional counter (windows)
Platform: | Size: 28672 | Author: 陆云 | Hits:

[WEB Code计数器_jason

Description: 一个经典小巧的计数器,绝对值得收藏。-a classic small counter, definitely worth collecting.
Platform: | Size: 9216 | Author: 都市行风 | Hits:

[JSP/Java计数器的例子

Description: 这里有个JAVA和CGI程序结合的访问计数器的例子. 其实单纯做访问计数器,只有CGI就够了. 但希望统计用户访问该主页的时间时,只用CGI好象就不行了. (本例没有这个功能. :PPP) -Here is the Java and CGI program combining the visit counter examples. In fact done simply counter, only CGI suffice. But I hope that statistics users visit the home page of time, the only CGI seems it is not alright. (In this example, this function. : PPP)
Platform: | Size: 2048 | Author: 万宏兴 | Hits:

[VHDL-FPGA-Verilog减法计数器

Description: EDA常用计数函数VHDL程序设计,减法计数器:可预置数:-common counting function EDA VHDL programming, subtraction counter : Preset :
Platform: | Size: 3072 | Author: 李培 | Hits:

[CSharp计数器原码

Description: 采用Stack类来实现计数器的简单运算.-used to achieve a simple counter computing.
Platform: | Size: 4096 | Author: xy | Hits:

[ADO-ODBC数据库计数器 v1.72

Description: 功能:可以控制浏览量的计数器,文字计数与图片计数,IP计数功能 新增浏览量,今日,本月,上月,日均流量 开站天数,-function : to control the hits counter, counting words and pictures counting, the counting function IP new hits, today, this month, last month, the average daily flow station opened a few days,
Platform: | Size: 20480 | Author: 大包 | Hits:

[Windows DevelopC++编写的CGI计数器程序

Description: 用C++编写的计数器CGI程序,功能强大,运行速度快速可靠,计数器也可以隐藏,该CGI程序运行于WinNT/Intel平台。-Counter written by VC++, high speed when runing.
Platform: | Size: 108544 | Author: 李居 | Hits:

[VHDL-FPGA-Verilog计数器:generate语句的应用

Description: VHDL语言应用实例,计数器的设计,用GENERATE语句实现-VHDL example, counter design, realization GENERATE statement
Platform: | Size: 1024 | Author: 刘杰 | Hits:

[Internet-Network简单的计数器

Description: 本人用C#制作的简单计数器,以供初学者学习-I used C# produced by the Counter simple to learn for beginners
Platform: | Size: 19456 | Author: twh | Hits:

[Windows Develop有时钟使能端的十进制计数器

Description: 有始终使能端的十进制计数器,经验证可以实现-have always enable side of the decimal counter, the experience can be achieved certification
Platform: | Size: 1024 | Author: 常小河 | Hits:

[Software Engineering可预置的8位计数器源程序

Description: 可预置的8位计数器程序的主要部分分析 #include <AT89X51.H> //器件配置文件 #define uchar unsigned char //变量类型的宏定义 #define uint unsigned int uchar code SEG7[10]={0x03,0x9f,0x25,0x0d,0x99, //0~9的数码管段码 0x49,0x41,0x1f,0x01,0x09} uchar data cnt[8] //在data区定义8位长度的数组,用来存放计数值 uchar data pre_cnt[8] //在data区定义8位长度的数组,用来存放预置值 sbit drv=P3^4 //定义输出驱动端 bit set_flag //定义工作标志 bit out_flag //定义输出标志 bit keydown_flag //键按下标志 -can preset counter the eight major part of the process analysis# include
Platform: | Size: 20480 | Author: wyq | Hits:
« 12 3 4 5 6 7 8 9 10 ... 50 »

CodeBus www.codebus.net