Welcome![Sign In][Sign Up]
Location:
Search - water-machine-controller

Search list

[Other resourcexiyiji

Description: 洗衣机控制器,包括清洗、漂水、脱水等状态,vhdl-washing machine controller, including cleaning, bleaching water, dehydration state, vhdl
Platform: | Size: 4654 | Author: 那锋 | Hits:

[Other resourcewater-machine-controller

Description: 基于HS108设计的红外水位检测仪,内含C源代码,原理图及PCB,稍作改动可以用于很多位置检测场合如油泵位置检测等。
Platform: | Size: 52363 | Author: flyingbb | Hits:

[VHDL-FPGA-Verilogxiyiji

Description: 洗衣机控制器,包括清洗、漂水、脱水等状态,vhdl-washing machine controller, including cleaning, bleaching water, dehydration state, vhdl
Platform: | Size: 4096 | Author: 飘来的南风 | Hits:

[CSharpwm1

Description: 洗衣机节水控制器程序 慎用 -Water-saving washing machine controller procedures used with caution be used with caution
Platform: | Size: 3072 | Author: 11111 | Hits:

[SCMwater-machine-controller

Description: 基于HS108设计的红外水位检测仪,内含C源代码,原理图及PCB,稍作改动可以用于很多位置检测场合如油泵位置检测等。-HS108-based design of infrared detector water containing C source code, schematics and the PCB, to make a minor amendment can be used for position detection in many occasions, for example, pumps and other position detection.
Platform: | Size: 52224 | Author: flyingbb | Hits:

[SCMproteusofwaterheater

Description: proteus热水器仿真,包含电路硬件图及汇编语言,并在keil2中源代码通过-Proteus water simulation, including the hardware circuit diagram and assembly language, and in the source code through keil2
Platform: | Size: 61440 | Author: 王横 | Hits:

[assembly languagecontrolsystemofwashingmachine

Description: 本系统设计并建立一个由微控制器控制的洗衣机控制系统。要求完成以下基本控制功能:给水和排水的自动控制,用户定时时间的设定,电机的正反转,各种定时和故障报警电路,定时开、关机的控制,三分钟延时启动的保护,具有键盘设定功能.设计相应的A/D、D/A、键盘、显示接口和传感器测量水位电路,可在线键盘参数设置、定时检测、显示、报警,其中控制输出部分采用模拟量或开关量进行控制。 -The system was designed and set up a micro-controller to control the washing machine control system. Required to complete the following basic control functions: the automatic control of water supply and drainage, the user time settings from time to time, the positive motor, various timing and failure alarm circuit, regularly opened, shutdown control, three-minute delay to start the protection of with the keyboard settings. Designed corresponding A/D, D/A, keyboard, display interface and the water level sensor circuit, available online keyboard parameter setting, timing detection, display, alarm, control output part of one of the use of analog or switch volume control.
Platform: | Size: 12288 | Author: 周海东 | Hits:

[SCMcode

Description: 洗衣机控制器的程序设计,主要分为以下4个过程:进水过程:有单片机控制进水阀的开关时间来完成。洗涤过程:洗衣机不断正转、反转,通过单片机对电机的控制来实现。排水过程:由单片机控制排水阀的开关时间来完成。脱水过程:洗衣机告诉旋转一定时间,是通过单片机对电机的控制来实现的。-Washing machine controller programming, mainly divided into the following four processes: water process: a single chip into the valve control switch to complete. Washing process: washing machine constantly forward, reverse, through the microcontroller to the motor control to achieve. Drainage process: drain valve from the MCU to complete the switch. Dehydration process: washing machine spin some time to tell, through the microcontroller for motor control to achieve.
Platform: | Size: 1024 | Author: zhuming | Hits:

[SCMwater

Description: 三支探针的水位控制器源代码,在自动补水机器上使用,经过调试和客户确认,可以使用。-Three probes of the water level controller source code, use the automatic pay machine, after commissioning, and customer recognition, you can use.
Platform: | Size: 46080 | Author: zhangyueqi | Hits:

[Other Embeded programxiyijikongzhixitong

Description: 设计并制作洗衣机控制模型,该洗衣机装水容量为1~2升,控制器可设定高、中、低水位和洗衣过程,控制电动机的正反转,自动进水和排水。-Design and production of washing machines control model, the capacity of a washing machine filled with water to 2 liters, the controller can be set high, medium, low water and laundry process, reversing motor control, automatic water and drainage.
Platform: | Size: 48128 | Author: 王春锦 | Hits:

[SCMWater-temperature-controller

Description: 水温控制器 能够实时监控上位机的数据,并且更快所得的数据调节温度与水位-Water temperature controller Can real-time monitoring epistatic machine data, and faster income data control temperature and water level
Platform: | Size: 5120 | Author: 陈立 | Hits:

[VHDL-FPGA-Verilogautomatic_washing_machine

Description: 自动洗衣机控制器,为不同的洗衣阶段设置不同的时间。全自动洗衣机有9个工作状态:空闲(idle),第一次加水(water1),洗涤(wash),第一次排水(drain1),第二次加水(water2),漂洗(rinse),第二次排水(drein2),甩干(dry),响起音乐(music)。-Automatic washing machine controller , for the different washing stages of setting different times. Automatic washing machine has nine operating states: idle (idle), first add water (water1), washing (wash), the first drainage (drain1), second water (water2), rinsing (rinse), second secondary drainage (drein2), drying (dry), sounded music (music).
Platform: | Size: 541696 | Author: x5675602 | Hits:

[Software Engineeringwashmachine

Description: 基于FPGA的洗衣机控制器 verilog语言 实现注水 脱水,正反转反复控制 状态机-FPGA-based controller verilog language washer water dehydration, reversing repeated control state machine
Platform: | Size: 77824 | Author: jasminee | Hits:

CodeBus www.codebus.net