Welcome![Sign In][Sign Up]
Location:
Search - watch_dog

Search list

[OS programWatch_dog

Description: 对判断程序非正常退出或非正常处于死机状态进行检测,如果发现则重启程序。-judgment procedures to withdraw from the non-normal or normal lockups at the state testing, if they were to resume proceedings.
Platform: | Size: 17496 | Author: yanwen li | Hits:

[Other resourcewatch_dog-2440.tar

Description: 三星s3c2440cpu的内部watchdog源代码,经本人修改,可以模块加载。-s3c2440cpu Samsung's internal watchdog source code, I revised Modules can be loaded.
Platform: | Size: 6049 | Author: 武东宏 | Hits:

[Crack Hackwatch_dog

Description: 自己做的一个看门狗的程序,希望对大家有所帮助。
Platform: | Size: 5440251 | Author: 周莹 | Hits:

[VHDL-FPGA-Verilogwatch2

Description: vhdl实现watchdog,在逻辑中可以加入本模块,实现看门狗。-VHDL achieve watchdog, the logic of the modules can be added to achieve watchdog.
Platform: | Size: 1024 | Author: | Hits:

[OS programWatch_dog

Description: 对判断程序非正常退出或非正常处于死机状态进行检测,如果发现则重启程序。-judgment procedures to withdraw from the non-normal or normal lockups at the state testing, if they were to resume proceedings.
Platform: | Size: 17408 | Author: yanwen li | Hits:

[Crack Hackwatch_dog

Description: 自己做的一个看门狗的程序,希望对大家有所帮助。-A watchdog to do their own procedures, and they hope to be helpful to everyone.
Platform: | Size: 6076416 | Author: 周莹 | Hits:

[Embeded-SCM Developwatch_dog

Description: 看门狗的verilog源代码,项目的一部分,绝对正确,测试通过。-Watchdog of the Verilog source code, part of the project, is absolutely correct, the test.
Platform: | Size: 1024 | Author: WangYong | Hits:

[VHDL-FPGA-Verilogwatch_dog_rtl_source

Description: Watchdog timer verilog RTL code
Platform: | Size: 10240 | Author: Chris | Hits:

[MiddleWarewatch_dog

Description: 键控看门狗程序: 在16383个机器周期内必须至少喂狗一次。 当晶振为11.0592M时在17毫秒内需喂狗一次。 激活看门狗后,喂狗,P2引脚上的LED闪烁发光 激活看门狗后,如果不喂狗,则复位 P0引脚上的LED闪烁发光-Keying watchdog process: In the 16383 machine cycle must be at least feed the dog once. When the crystal is 17 milliseconds 11.0592M domestic feed the dog at once. Activate watchdog, the feed dog, P2 pin on the LED flashing light to activate the watchdog, if not feed the dog, then P0 pins reset LED flashing light on the
Platform: | Size: 10240 | Author: wenking99 | Hits:

[SCMwatch_dog

Description: avr晶片看門狗應用範例atmeg48 atmega-avr-chip watchdog application example atmeg48 atmega88
Platform: | Size: 10240 | Author: jevoswang | Hits:

[ARM-PowerPC-ColdFire-MIPSWatch_DOG

Description: 该程序介绍了如何初始化 MB90540 系列的监视狗等-The procedure describes how to initialize the MB90540 series, so watch dog
Platform: | Size: 227328 | Author: 唐生 | Hits:

[source in ebookLEDDAZHUANPAN

Description: CHIP SN8P2604 ------------------------------------------------------------ 功能描叙:开机为全灭 I)KEY按下。A区工作:A区灯加速后减速最后停止,再在原地闪 0.3SH+0.3SL,B区为不亮 II)KEY按下。B区工作:B区灯加速后减速最后停止,如果B区最后停点与A区同,A区与B区同时亮灭 (A区B区灯的颜色和停止点都为随机产生) 如果A区与B区最后停点不同,A区与B区互亮互灭 III)KEY按下。A区与B区同时渐亮渐灭,灯的颜色(6种)随机产生. a.如果有KEY按下进入I) b.没有KEY按下3分钟进入睡眠,进入睡眠后有KEY按下进入III) 算法描叙:A区B区灯的显示通过中断分时实现,主程序中为显示提供显示接口变量。 主要由加速和减速子程序,渐变子程序组成。 2.KEY按键长按超过15S,也可邮KEY的按键时间来确定最后停止位。再长按15S关该功能 。5S+停留位。-CHIP SN8P2604 //{{SONIX_CODE_OPTION .Code_Option Noise_Filter Disable .Code_Option Reset_Pin P02 .Code_Option Watch_Dog Enable Normal mode: Enable Watchdog Green and Sleep mode: Stop Watchdog .Code_Option High_Clk 12M_X tal Crystal/Resonator: 10Mhz~16Mhz .Code_Option Fcpu #2 Fcpu = Fosc/4 .Code_Option Security Enable //}}SONIX_CODE_OPTION ----------T=0.25us,16M/4 .LIST 定义i/o口和寄存器别名。 COM1 EQU P5.0 COM2 EQU P5.1 COM3 EQU P5.2 COMA EQU P5 COMB EQU P5 KEYS EQU P0.1
Platform: | Size: 4096 | Author: HUANGCHUANG | Hits:

[SCMwatch_dog

Description: 看门狗程序,实践中比较好用,虽没有多少技术含量,但可以让初学者参考一下。挺不错的。-Watchdog program, the practice is relatively easy to use, although not much technical content, but allows beginners reference. Pretty good.
Platform: | Size: 53248 | Author: yanxb | Hits:

[SCMKAFEI

Description: 2501的一个学习例程,有参考价值-CHIP SN8P2501b Select the CHIP //{{SONIX_CODE_OPTION .Code_Option Noise_Filter Enable Disable .Code_Option Watch_Dog Enable Disable Disable Watchdog .Code_Option Fcpu #3 Fcpu = Fosc/4 .Code_Option High_Clk IHRC_16M Internal 16M RC Oscillator .Code_Option Reset_Pin P11 .Code_Option Security Enable .Code_Option LVD LVD_L 2.4V Reset Enable LVD36 bit of PFLAG for 3.6V Low Voltage Indicator //}}SONIX_CODE_OPTION
Platform: | Size: 37888 | Author: weixiyuan | Hits:

[VHDL-FPGA-Verilogwatch_dog

Description: 看门狗程序设计,使用verilog HDL语言编写-Watchdog program design, using verilog HDL language
Platform: | Size: 1024 | Author: 甄红欣 | Hits:

[Embeded-SCM Developwatch_dog

Description: 看门狗的verilog源代码,项目的一部分,绝对正确,测试通过。-Watchdog of the Verilog source code, part of the project, is absolutely correct, the test.
Platform: | Size: 1024 | Author: equences | Hits:

[VHDL-FPGA-Verilogwatch_dog

Description: 基于EPM1270F256实现的4路看门狗控制逻辑,实现了滤波、延时、复位功能。-Based on EPM1270F256 4 road guard dog control logic, to realize the function of filtering, time delay and reset.
Platform: | Size: 392192 | Author: 陈传开 | Hits:

[Otherwatch_dog

Description: verilog实现watch dog看门狗功能。(watch Implement watch dog function.)
Platform: | Size: 1024 | Author: kevin_li | Hits:

CodeBus www.codebus.net