Welcome![Sign In][Sign Up]
Location:
Search - voter

Search list

[Other resource三人表决器

Description: Three-input Majority Voter -- The entity declaration is followed by three alternative architectures which achieve the same functionality in different ways. -Three-input Majority Voter -- The entity declaration is followed by three alternative architectures which achieve the same functionality in different ways.
Platform: | Size: 3361 | Author: 蔡孟颖 | Hits:

[WinSock-NDISVoter

Description: 可完全自定义的调查投票管理系统,可以生成任何网上您所见到的调查投票问卷。 系统特点: 简单好用,但不失功能强大。(甚至只有2个操作选项) 完全静态生成,(即完全Html),站点内任意位置随便调用。 完全Html模版控制界面,只要稍懂Html知识即可让您的界面完美无缺(不用担心复杂,在现有模版基础上修改就好了,而且模版简化到只有2个) 可以在网站任何页面内使用Html代码调用,完全无缝嵌套。 可完全还原原始投票内容,便于更细致分析。 动态导出Excel分析结果(新) 无需另外设置,Copy实现安装,完全绿色(免虚拟目录) -be completely self-definition of vote management system that can generate any you have seen online survey questionnaire vote. System features : simple and easy, yet powerful. (Or even operate only two options) fully static generation (that is fully html), the location within the site arbitrary casually call. Html template completely control interface, as long as slightly understanding Html knowledge can make your perfect interface (no need to worry about complicated, based on existing templates can be a change, but to simplify the template only two) in any of the pages within the site to use html code called nested completely seamless. Fully restore the original vote, to facilitate more detailed analysis. Dynamic analysis of the results derived Excel (new) without the other settings, Copy
Platform: | Size: 81891 | Author: 李林 | Hits:

[Windows DevelopMajority

Description: 三人表决器(三种不同的描述方式) Three-input Majority Voter The entity declaration is followed by three alternative architectures which achieve the same functionality in different ways.
Platform: | Size: 1198 | Author: yu | Hits:

[VHDL-FPGA-Verilog三人表决器

Description: Three-input Majority Voter -- The entity declaration is followed by three alternative architectures which achieve the same functionality in different ways. -Three-input Majority Voter -- The entity declaration is followed by three alternative architectures which achieve the same functionality in different ways.
Platform: | Size: 3072 | Author: 蔡孟颖 | Hits:

[Internet-NetworkVoter

Description: 可完全自定义的调查投票管理系统,可以生成任何网上您所见到的调查投票问卷。 系统特点: 简单好用,但不失功能强大。(甚至只有2个操作选项) 完全静态生成,(即完全Html),站点内任意位置随便调用。 完全Html模版控制界面,只要稍懂Html知识即可让您的界面完美无缺(不用担心复杂,在现有模版基础上修改就好了,而且模版简化到只有2个) 可以在网站任何页面内使用Html代码调用,完全无缝嵌套。 可完全还原原始投票内容,便于更细致分析。 动态导出Excel分析结果(新) 无需另外设置,Copy实现安装,完全绿色(免虚拟目录) -be completely self-definition of vote management system that can generate any you have seen online survey questionnaire vote. System features : simple and easy, yet powerful. (Or even operate only two options) fully static generation (that is fully html), the location within the site arbitrary casually call. Html template completely control interface, as long as slightly understanding Html knowledge can make your perfect interface (no need to worry about complicated, based on existing templates can be a change, but to simplify the template only two) in any of the pages within the site to use html code called nested completely seamless. Fully restore the original vote, to facilitate more detailed analysis. Dynamic analysis of the results derived Excel (new) without the other settings, Copy
Platform: | Size: 81920 | Author: 李林 | Hits:

[Windows DevelopMajority

Description: 三人表决器(三种不同的描述方式) Three-input Majority Voter The entity declaration is followed by three alternative architectures which achieve the same functionality in different ways.-Three of the voting machine (described in three different ways) Three-input Majority VoterThe entity declaration is followed by three alternative architectures which achieve the same functionality in different ways.
Platform: | Size: 1024 | Author: yu | Hits:

[Windows Developvoter

Description: 这是一个在线投票系统,包括管理员,客户登陆模块,新闻显示模块等。包含完整的源代码和文档。-This is an online voting system, including the administrator, client landing module, display module, such as news. Contains the complete source code and documents.
Platform: | Size: 3460096 | Author: 晴天 | Hits:

[JSP/JavaRobot

Description: 自己些的java版本的按键精灵可以读取配置文件(Voter.action)-Own some of the java version of the button configuration files can be read Elven (Voter.action)
Platform: | Size: 15360 | Author: 张袁炜 | Hits:

[VHDL-FPGA-Verilogvoter

Description: 用VHDL语言设计三人表决器 新建VHDL设计文件并保存 检查编译 波形仿真 -Design using VHDL language VHDL three new voting system for the design document and save it to check the compiler waveform simulation
Platform: | Size: 33792 | Author: 米石 | Hits:

[GUI DevelopUIOFDI

Description: Probabilistic voter simulation for Multi-Modular Redundancy
Platform: | Size: 17408 | Author: badre | Hits:

[Internet-NetworkParitySpaceFDI

Description: Probabilistic voter simulation for Multi-Modular Redundancy
Platform: | Size: 10240 | Author: badre | Hits:

[VHDL-FPGA-Verilogvoterandcounter

Description: Program for building voter machine in vhdl
Platform: | Size: 2048 | Author: sundar | Hits:

[VHDL-FPGA-Verilogvoter

Description: 这是一个多人投票表决器程序,经过仿真正确。-this is a majority_voter programme.
Platform: | Size: 1024 | Author: yuedongxu | Hits:

[VHDL-FPGA-Verilogvoter

Description: 7人表决器,运用for语句实现,很简单,很实用!-7 voting machines, used for statements to achieve, very simple and very practical!
Platform: | Size: 216064 | Author: xizi | Hits:

[matlabelection1234

Description: Implements many different methods for determining the winner of an election given voter preferences
Platform: | Size: 12288 | Author: samiio | Hits:

[VHDL-FPGA-VerilogDesktop

Description: 内含多数表决器,计数器等程序,应用verilog HDL编译-counter and voter
Platform: | Size: 610304 | Author: ccy199004 | Hits:

[VHDL-FPGA-Verilogvoter

Description: 少数服从多数表决器,Verilog HDL语言描述,包含文件说明和波形截图-Majority voter, Verilog HDL language description, contains the file description and waveform capture
Platform: | Size: 34816 | Author: 孙璐 | Hits:

[VHDL-FPGA-Verilogvoter

Description: 这是一个基于Quartus2 的七人投票表决系统-voter for 7 men
Platform: | Size: 300032 | Author: 油雨墨夜 | Hits:

[MPIThree-input-Majority-Voter

Description: 三人表决器 三种不同的描述方式 用于进行三人的投票表决-Three-input Majority Voter
Platform: | Size: 1024 | Author: 苏莱曼 | Hits:

[source in ebookThree-input-Majority-Voter

Description: 三人表决器(三种不同的描述方式),VHDL代码-Three-input Majority Voter
Platform: | Size: 1024 | Author: MOHAMAD | Hits:
« 12 »

CodeBus www.codebus.net