Welcome![Sign In][Sign Up]
Location:
Search - vhdl traffic signal control

Search list

[Windows DevelopVHDLgdewrrrrrrrrrrrr

Description: 本设计中选用目前应用较广泛的VHDL硬件电路描述语言,实现对路口交通灯系统的控制器的硬件电路描述,通过编译、仿真,并下载到CPLD器件上进行编程制作,实现交通灯系统的控制过程。EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。实现路口交通灯系统的控制方法很多,可以用标准逻辑器件、可编程序控制器PLC、单片机等方案来实现。但是这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了功能修改及系统调试的困难。因此,在设计中采用EDA技术,应用目前广泛应用的VHDL硬件电路描述语言,实现交通灯系统控制器的设计,利用MAXPLUSⅡ集成开发环境进行综合、仿真,并下载到CPLD可编程逻辑器件中,完成系统的控制作用。-the current design was chosen over a wide range of VHDL hardware description language circuit. Implementation of traffic lights at the junction of the controller hardware circuit description, compiler, simulation, to download and CPLD programming on production, traffic signal system to achieve the control process. EDA technology is used to design electronic products more advanced technology, designers can replace the complete electronic system design most of the work, but can directly from the process to amend the mistakes and system functions without the need for hardware circuits of support, both to shorten the development cycle, another significant cost savings by the electronic engineers of all ages. Achieving junction traffic signal system control many ways, using standard logic devic
Platform: | Size: 4245 | Author: jazvy | Hits:

[Other resourcecodeofvhdl2006

Description: 【经典设计】VHDL源代码下载~~ 其中经典的设计有:【自动售货机】、【电子钟】、【红绿灯交通信号系统】、【步进电机定位控制系统】、【直流电机速度控制系统】、【计算器】、【点阵列LED显示控制系统】 基本数字逻辑设计有:【锁存器】、【多路选择器】、【三态门】、【双向输入|输出端口】、【内部(缓冲)信号】、【编码转换】、【加法器】、【编码器/译码器】、【4位乘法器】、【只读存储器】、【RSFF触发器】、【DFF触发器】、【JKFF触发器】、【计数器】、【分频器】、【寄存器】、【状态机】 - [ Classics design ] the VHDL source code downloads ~ ~ classics the design to include: [ Vending machine ], [ electron clock ], [ traffic light traffic signal system ], [ step of 杩涚數 machine localization control system ], [ direct current machine speed control system ], [ calculator ], [ array LED display control system ] the basic numeral logical design includes: [ Latch ], [ multichannel selector ], [ 涓夋
Platform: | Size: 44186 | Author: senkong | Hits:

[SCM急救车与交通灯

Description: 急救车与交通灯)(1)南北与东西方向,每个方面由红黄绿三个灯控制(2)南北向: 红(30秒),黄(5秒),绿(25秒)   东西向: 红(35秒),黄(5秒),绿(25秒)   上述基本参数可以根据实际情况自行调整,灯的变化规律与实际路口规律相同,绿灯在最后5秒钟时,黄灯亮,然后红灯亮,不允许两个方面同时亮绿灯。(3)绿灯的时间显示在数码管上进行显示。(4)可以通过一个开关控制,当开关信号为0时,整个交通灯全灭提高要求:(1)设计一个紧急控制开关信号,当紧急开关信号为1时,两个方向的灯全为红灯。紧急开关撤消后,按照开关按下之前的状态继续运行(其参数要保存)。(2)设计一个夜间行车开关,当开关按下后,两个方向都只有黄灯闪烁,其它灯熄灭。(3)设计两个方向的亮时时间可调。-emergency vehicles and traffic lights) (1) North and South and east-west direction, each with three yellow-green from red lights control (2) to the north and south : red (30 seconds), yellow (5 seconds), Green (25 seconds) to things : red (35 seconds), yellow (5 seconds) Green (25 seconds) above basic parameters can be adjusted to the actual situation, the light changes with the actual law of the same intersection, the green light in the final five seconds, the yellow light is on, and then a red light, bright, not two fronts simultaneously bright green. (3) the green light at the time displayed on the digital display control. (4) can be controlled by a switch, when the switch signal to 0, the whole prospect of traffic lights to improve requirements : (1) Design an emergency control switch
Platform: | Size: 1024 | Author: dd | Hits:

[Windows DevelopVHDLgdewrrrrrrrrrrrr

Description: 本设计中选用目前应用较广泛的VHDL硬件电路描述语言,实现对路口交通灯系统的控制器的硬件电路描述,通过编译、仿真,并下载到CPLD器件上进行编程制作,实现交通灯系统的控制过程。EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。实现路口交通灯系统的控制方法很多,可以用标准逻辑器件、可编程序控制器PLC、单片机等方案来实现。但是这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了功能修改及系统调试的困难。因此,在设计中采用EDA技术,应用目前广泛应用的VHDL硬件电路描述语言,实现交通灯系统控制器的设计,利用MAXPLUSⅡ集成开发环境进行综合、仿真,并下载到CPLD可编程逻辑器件中,完成系统的控制作用。-the current design was chosen over a wide range of VHDL hardware description language circuit. Implementation of traffic lights at the junction of the controller hardware circuit description, compiler, simulation, to download and CPLD programming on production, traffic signal system to achieve the control process. EDA technology is used to design electronic products more advanced technology, designers can replace the complete electronic system design most of the work, but can directly from the process to amend the mistakes and system functions without the need for hardware circuits of support, both to shorten the development cycle, another significant cost savings by the electronic engineers of all ages. Achieving junction traffic signal system control many ways, using standard logic devic
Platform: | Size: 4096 | Author: jazvy | Hits:

[VHDL-FPGA-Verilogcodeofvhdl2006

Description: 【经典设计】VHDL源代码下载~~ 其中经典的设计有:【自动售货机】、【电子钟】、【红绿灯交通信号系统】、【步进电机定位控制系统】、【直流电机速度控制系统】、【计算器】、【点阵列LED显示控制系统】 基本数字逻辑设计有:【锁存器】、【多路选择器】、【三态门】、【双向输入|输出端口】、【内部(缓冲)信号】、【编码转换】、【加法器】、【编码器/译码器】、【4位乘法器】、【只读存储器】、【RSFF触发器】、【DFF触发器】、【JKFF触发器】、【计数器】、【分频器】、【寄存器】、【状态机】 - [ Classics design ] the VHDL source code downloads ~ ~ classics the design to include: [ Vending machine ], [ electron clock ], [ traffic light traffic signal system ], [ step of 杩涚數 machine localization control system ], [ direct current machine speed control system ], [ calculator ], [ array LED display control system ] the basic numeral logical design includes: [ Latch ], [ multichannel selector ], [ 涓夋
Platform: | Size: 44032 | Author: senkong | Hits:

[assembly languageC51CrossLight

Description: 1.设计一个交通灯控制器。 2.利用学习机上的发光二极管,设定东、南、西、北4个方向,各3个灯(红、黄、绿)。交通灯控制器正常工作时,南北方向红灯亮3秒,黄灯闪2秒,绿灯亮3秒,以此类推。东西方向绿灯亮3秒,黄灯闪2秒,红灯亮3秒,以此类推。 3.设定两个紧急按钮,一个控制南北灯,一个控制东西灯。当按下相应的紧急键时,其控制方向的交通灯亮绿灯,其他方向的交通灯亮红灯,至自控键松开,恢复正常交通控制。 -1. Design of a traffic light controller. 2. Use of learning machine on the LED and set the East, South, West, North 4 direction, the three lights (red, yellow, green). Traffic signal controller normal working hours, the north- and south-bound red light three seconds, two seconds flashing yellow light, green light-three seconds, and so on. East-west direction green three seconds, two seconds flashing yellow light, red light three seconds, and so on. 3. Set two emergency buttons, a north-south control lights, a light control things. When pressing the corresponding key emergency, its control the traffic lights green, the other direction, the traffic lights class. Key to loose control and restore normal traffic control.
Platform: | Size: 10240 | Author: wangpeng | Hits:

[VHDL-FPGA-Verilogtrafficlightvhdlcode

Description: VHDL实现的交通灯程序,可以定时南北和东西方向的交通灯信号,控制红黄绿各灯亮的时间,并考虑紧急情况如有救护车通过-VHDL procedures realize the traffic lights, you can regularly north-south and east-west direction of the traffic lights signal control red, yellow, and green lights all the time, and to consider the adoption of an emergency if the ambulance
Platform: | Size: 581632 | Author: dongming | Hits:

[OtherTrafficlight

Description: 系统设置一个两位BCD码倒计时计数器(计数脉冲1HZ),用于记录各状态持续时间; 因为各状态持续时间不一致,所以上述计数器应置入不同的预置数; 倒计时计数值输出至二个数码管显示; 程序共设置4个进程: ① 进程P1、P2和P3构成两个带有预置数功能的十进制计数器,其中P1和P3分别为个位和十位计数器,P2产生个位向十位的进位信号; ② P4是状态寄存器,控制状态的转换,并输出6盏交通灯的控制信号。-System to set up a two BCD code countdown counter (count pulse 1HZ), used to record the duration of each state because the duration of each state are inconsistent, so these counters should be placed in several different presets countdown of numerical output to two digital display procedures were set up four processes: ① process P1, P2 and P3 form two functions with a preset number of decimal counters, of which P1 and P3, respectively, for months, and 10-bit counters, P2 to generate a 10-bit The binary signal ② P4 is the status register, control the state of the conversion, and six output control signals of traffic lights.
Platform: | Size: 1024 | Author: kid | Hits:

[VHDL-FPGA-VerilogTraffic_Light

Description: 在XILINX环境下,实现交通信号灯控制,VHDL语言编写。-In the XILINX environment, the achievement of traffic signal control, VHDL language.
Platform: | Size: 546816 | Author: heh | Hits:

[VHDL-FPGA-Verilogjtd

Description: 交通灯控制程序.实现十字路口的交通灯控制.使用vhdl编写,使用方便.-Traffic lights control procedures. The realization of the traffic signal controlled crossroads. The use of VHDL to prepare and easy to use.
Platform: | Size: 717824 | Author: good | Hits:

[OS Developautolight

Description: vhdl语言,交通控制信号,指示车灯的变化情况,仿真顺利通过可以使用-vhdl language, traffic control signal, indicative of changes in the lights, the simulation can be used successfully passed
Platform: | Size: 15360 | Author: yingzhuzhang | Hits:

[VHDL-FPGA-VerilogVHDL

Description: 包括用用VHDL语言编写的DDS,FIFO,交通控制灯,数字电压计,信号发生器的源码,希望能帮到大家-Including the use of VHDL language with the DDS, FIFO, traffic control lights, digital voltage, the signal generator of the source, I hope to help you
Platform: | Size: 69632 | Author: link | Hits:

[VHDL-FPGA-VerilogTheLEDlightsbasedonVHDLprogram

Description: 基于VHDL实现LED等的控制,可作为后续交通灯设计的基础程序。已调试运行成功。-LED-based VHDL to achieve such control, can be used as the basis for follow-up procedures for traffic signal design. Has been successful commissioning.
Platform: | Size: 299008 | Author: 戚澈 | Hits:

[VHDL-FPGA-Verilogtraffic

Description: 采用VHDL语言编写的控制交通灯工作的程序。分为四个部分:1,分频器,2,计数并产生控制信号,3,交通灯信号产生,4,交通灯总体描述。点击lzh6.aws打开工作空间-VHDL language used to control traffic lights work procedures. Divided into four parts: 1, divider, 2, count and generates control signals, 3, traffic signal generation, 4, a general description of traffic lights
Platform: | Size: 20480 | Author: 李修函 | Hits:

[VHDL-FPGA-VerilogIntersection-traffic-lights-control

Description: 基于FPGA的十字路口智能交通信号灯控制系统的VHDL程序代码-FPGA the crossroads of intelligent traffic signal control systems-based process and VHDL code
Platform: | Size: 1024 | Author: fandi | Hits:

[VHDL-FPGA-Verilogtrafficlight

Description: 基于VHDL的十字路口交通灯控制系统设计与实现,定时器模块由25S、5S、20S三个定时器组成,分别确定相应信号灯亮的时间。三个定时器采用以秒脉冲为时钟的计数器实现。eg、ey、er分别是三个定时器的工作使能信号,tm25、tm5、tm20是三个定时器的计数结束指示信号。 控制模块是对系统工作状态的转换进行控制,根据交通规则可得系统状态转换情况。ar、ay、ag br、by、bg分别表示由控制器输出的A道和B道的红、黄、绿信号灯亮的时间;eg、ey、er分别表示由控制器输出的控制25S、5S、20S三个定时器的工作使能信号。-Based on VHDL crossroads traffic lights control system design and implementation, the timer module from 25S, 5S, 20S, three timer composition, respectively, to determine the corresponding signal light time. Three timer a second pulse of the clock counter. eg, ey, er, respectively, three timer enable signal tm25, tm5, of tm20 the end of the three timers count indication signal. The control module to control the conversion of the system working state conversions in accordance with the rules of the road can get system status. ar, ay, ag br, by, bg, respectively output by the controller of A and B Road, red, yellow, green signal light eg, ey, er, respectively, by the control of the controller output 25S, 5S, 20S, three timer enable signal.
Platform: | Size: 185344 | Author: 蔡利波 | Hits:

[source in ebooktr

Description: 用户VHDL语言实现交通灯信号控制的设计-Design user the VHDL language to achieve traffic signal control
Platform: | Size: 705536 | Author: 天一色 | Hits:

[VHDL-FPGA-Verilogjiaotongdeng

Description: 交通信号灯自动控制器,能下载到FPGA开发板,自动交通灯控制程序,由VHDL编写,环境为QUTUS2-Traffic signal controller, can be downloaded to the FPGA development board, automatic traffic light control procedures, written by VHDL environment QUTUS2
Platform: | Size: 1808384 | Author: dengnana | Hits:

[hardware designstreetlights-based-on-VHDL

Description: 本程序设计了一个基于FPGA的路灯控制系统,具有时控、声光控、交通控制的功能,即不但可通过对系统人工设定开关灯时间来完成其工作,也可通过采集实际环境的光信号和声音信号来控制路灯工作,还可以通过交通状况控制;此外可以通过故障检测功能,实现对路灯的故障检测,并且可以由七段数码管显示故障路灯编号;同时,利用热敏电阻等器件组成外部电路,用来检测电路温度,此电路具有报警功能,保证系统在正常温度范围内工作。在交通状况控制模式下,利用红外传感器探测目标位置,进而确定输出高低电平。在仿真模拟中结果正确,实现了所有功能。-This program is designed in a street FPGA-based control system, having control, sound and light control, traffic control functions, i.e. not only the system can manually set the switch lamp time to complete its work by, also be collected by the physical environment an optical signal and an audio signal lights controlling the work can also be controlled by traffic condition can furthermore fault detection, fault detection of the street, street number and the failure may be displayed by seven-segment the same time, like using a thermistor devices composed of an external circuit, a circuit for detecting a temperature, this circuit has a warning function to ensure the system operates in the normal temperature range. In the traffic control mode using an infrared sensor to detect the position of the target, and to determine the output high and low. The correct result in simulation, the realization of all the features.
Platform: | Size: 19456 | Author: wang | Hits:

[Otherjtd

Description: vhdl语言实现常用交通灯控制电路,用于控制某路口红绿黄三色信号灯(VHDL language is used to control the common traffic light control circuit to control the red, green and yellow three color signal lights at an intersection)
Platform: | Size: 2071552 | Author: 额丶额 | Hits:
« 12 »

CodeBus www.codebus.net