Welcome![Sign In][Sign Up]
Location:
Search - vhdl lcd controller

Search list

[SCMvhdl_lcd

Description: 使用C语言与VHDL实现 液晶显示控制器示例使用说明 使用模块有:单片机模块、液晶显示模块。 使用步骤: 1. 打开电源+5V。 2. 信号连接,按下表将1K30信号与实际模块连接好。 3. 1K30板连接好并口线,并将程序加载。 4. 液晶屏上将显示一幅图像。 -use C language and VHDL LCD controller using examples of the use of modules : SCM modules, LCD display modules. Use steps : 1. Turn the power 5V. 2. Signal connectivity, the table below will 1K30 signal with the actual module linking well. 3. 1K30 good parallel plate connections and will be loading procedures. 4. LCD screen will show an image.
Platform: | Size: 39936 | Author: 刘浪 | Hits:

[VHDL-FPGA-Verilogfpgalcddriver

Description: 基于FPGA液晶控制器设计与实现,采用VHDL硬件描述语言。-FPGA-based LCD controller design and implementation using VHDL hardware description language.
Platform: | Size: 92160 | Author: 张杰 | Hits:

[VHDL-FPGA-Veriloglcd_controler

Description: 用vhdl编写的lcd控制器,已经应用到了实际的生产中-Prepared using VHDL lcd controller, have been applied to practical production
Platform: | Size: 1024 | Author: 曾工 | Hits:

[VHDL-FPGA-Verilogpd064vt5

Description: 用vhdl编写的lcd控制器,已经应用到了实际的生产中-Prepared using VHDL lcd controller, have been applied to practical production
Platform: | Size: 1024 | Author: 曾工 | Hits:

[OtherVGALCD

Description: lcd控制器的源程序,可以随便使用,免费试用。不多描述。-lcd controller source code, you can not use, free trial. Not much to describe.
Platform: | Size: 494592 | Author: 刘源 | Hits:

[VHDL-FPGA-Verilogtft_cntlr_ref_v1_00_c

Description: TFT LCD 控制器的VERILOG 源代码程序,已在某项目上成功应用.-TFT LCD controller VERILOG source code procedures have been in a successful application projects.
Platform: | Size: 15360 | Author: liubing | Hits:

[VHDL-FPGA-Verilogdisplay_control

Description: 一个LCD控制器的verilog源代码,可以方便的控制TFT LCD!-An LCD controller Verilog source code, can easily control TFT LCD!
Platform: | Size: 2048 | Author: shi | Hits:

[VHDL-FPGA-VerilogFPGA-LCD

Description: 基于FPGA的LCD&VGA控制器设计 字数不够-FPGA-based controller design for LCD & VGA
Platform: | Size: 72704 | Author: may | Hits:

[Otheran497_design_example_altera_CPLD_LCD

Description: altera cpld lcd controller
Platform: | Size: 1552384 | Author: tragun | Hits:

[VHDL-FPGA-Veriloglcd_drv

Description: IP core for LCD controller of Xilinx FPGA
Platform: | Size: 2048 | Author: phong duong | Hits:

[OtherLCD

Description: LCD控制器的程序,用VHDL写的,包括仿真程序-LCD controller program, written using VHDL, including the simulation program
Platform: | Size: 5120 | Author: shenyushi | Hits:

[Software Engineeringlcd_controller

Description: LCD controller 320x240 XC95144, building Xilinx ISE 6.0 Platform VHDL.
Platform: | Size: 4448256 | Author: Meke | Hits:

[VHDL-FPGA-Verilogled_control

Description: 本实验箱采用的液晶显示屏内置的控制器为SED1520,点阵为122×32,需要两片SED1520组成,由E1,E2分别选通,以控制显示屏的左右两半屏。图形液晶显示模块有两种连接方式,一种为直接访问方式,一种为间接访问方式。本实验采用直接控制方式。 直接控制方式就是将液晶显示模块的接口作为存储器或I/O设备直接挂在计算机总线上。计算机通过地址译码器控制E1和E2的选通;读/写操作信号R/W有地址线A1 控制,命令/数据寄存器选择信号由地址线A0控制。 -The experimental box with built-in LCD controller for the SED1520, lattice is 122 × 32, needs two SED1520 formed by the E1, E2, respectively gating to control the display of about two and a half screen. Graphic LCD module has two connections, one for the direct access method, an indirect access. In this study the direct control mode. Direct control method is to interface LCD module as memory or I/O devices directly linked to the computer bus. Computer controlled by address decoder strobe E1 and E2 read/write signal R/W control the address lines A1, command/data register select control signal from the address line A0.
Platform: | Size: 1206272 | Author: yangxiao | Hits:

[VHDL-FPGA-Verilogfpga_displayer

Description: 用FPGA实现的LCD现实代码,TFT lcd Controller难得资料,下载不下载由你?-FPGA Implementation of LCD with real code, TFT lcd Controller valuable information, downloads do not download to you?
Platform: | Size: 18432 | Author: 林显 | Hits:

[ARM-PowerPC-ColdFire-MIPSILI9327_Example

Description: 基于ILI9327这款LCD控制器的液晶屏驱动程序,由STM32驱动-This LCD controller based ILI9327 LCD driver, driven by the STM32
Platform: | Size: 36864 | Author: 骨头好 | Hits:

[VHDL-FPGA-VerilogTFTLCD

Description: 基于FPGA的彩屏LCD控制器,800*480,显示彩条,TFT LCD型号AT070TN83-The TFT Lcd controller based on FPGA.The Matrix is 800*480,it can display color bands.
Platform: | Size: 1024 | Author: willam | Hits:

[VHDL-FPGA-VerilogFPGA-LCD

Description: 基于FPGA的液晶显示控制器的设计,PDF格式-LCD controller based on FPGA design, PDF format
Platform: | Size: 3516416 | Author: 秋予 | Hits:

[VHDL-FPGA-Veriloglcd

Description: 基于fpga的tft液晶驱动,控制器是ILI9325,是verilog写的,16位并口模式,我上网上搜索了很久都没找到的,-Fpga based on the tft LCD driver, controller ILI9325, is written in verilog, 16-bit parallel mode, on-line search for a long time I did not find,
Platform: | Size: 3734528 | Author: 第三方 | Hits:

[VHDL-FPGA-VerilogLCD-controller---VHDL

Description: vhdl languge, i use the vhdl language for lcd controller with de2 board.
Platform: | Size: 536576 | Author: Nghia | Hits:

[VHDL-FPGA-VerilogLCD-controller---Nghia

Description: different code for lcd controller using de2 board with vhdl lanuage
Platform: | Size: 683008 | Author: Nghia | Hits:
« 12 »

CodeBus www.codebus.net