Welcome![Sign In][Sign Up]
Location:
Search - vhdl ds18b

Search list

[VHDL-FPGA-VerilogDS18B20+VHDL

Description: 用VHDL语言实现的控制DS18B20构成测温仪表的程序,包含了全部代码,可显示最高精度-with VHDL control DS18B20 constitute Thermometer procedures, contains all the code will show that the most high-precision
Platform: | Size: 818176 | Author: 刘西圣 | Hits:

[VHDL-FPGA-Verilogds18b20

Description: 单路DS18B20的verilog HDL 代码,精度为1℃无须转换数据,直接输出结果。占用300个LE资源。-Single DS18B20 the verilog HDL code, and an accuracy of 1 ℃ without converting the data, direct output. Occupy 300 LE resources.
Platform: | Size: 443392 | Author: chenwl | Hits:

[VHDL-FPGA-Verilogds18b20_verilog

Description: 用verilog语言编写,实现DS18B20测量温度的程序,包括整个工程文件。-Using verilog language, achieve DS18B20 temperature measurement procedures, and including the project file.
Platform: | Size: 175104 | Author: pangzi | Hits:

CodeBus www.codebus.net