Welcome![Sign In][Sign Up]
Location:
Search - vhdl dac0832

Search list

[OtherDAC0832

Description: vhdl代码多路开关,小系统使用,非常方便
Platform: | Size: 908 | Author: gcy | Hits:

[Other resourceDAC0832

Description: 由VHDL 语言实现的DA0832器利用的是QUARTUES环境已经得到验证
Platform: | Size: 173314 | Author: df | Hits:

[Other resourceVHDL

Description: VHDL参考例程--日如DAC0832接口电路程序
Platform: | Size: 1278710 | Author: lixu | Hits:

[File FormatFPGA_27eg

Description: FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真; PSK调制与解调VHDL程序及仿真; MASK调制VHDL程序及仿真; MFSK调制VHDL程序及仿真; MPSK调制与解调VHDL程序与仿真; 基带码发生器程序设计与仿真; 频率计程序设计与仿真; 采用等精度测频原理的频率计程序与仿真; 电子琴程序设计与仿真 2004.8修改; 电子琴程序设计与仿真; 电梯控制器程序设计与仿真; 电子时钟VHDL程序与仿真; 自动售货机VHDL程序与仿真; 出租车计价器VHDL程序与仿真 2004.8修改; 出租车计价器VHDL程序与仿真; 波形发生程序; 步进电机定位控制系统VHDL程序与仿-FPGA value of the 27 examples. Rar including LED control procedures and VHDL simulation 200 4.8 amendments. doc; LED control procedures and VHDL simulation; LCD control procedures and VHDL simulation 2004.8 modified; LCD control procedures and VHDL simulation; Connection between ADC 0809 VHDL control procedures; TLC5510 VHDL control procedures; DAC0832 interface circuits; TLC7524 interface circuits; URAT procedures and VHDL simulation; ASK modulation and demodulation process and VHDL simulation; FSK modulation and demodulation process and VHDL simulation; PSK modulation and demodulation process and VHDL simulation; MASK modulation procedures and VHDL simulation; MFSK modulation procedures and VHDL simulation; MPSK modulation and demodulation process and VHDL simulation; Base-band code gene
Platform: | Size: 1278976 | Author: | Hits:

[OtherDAC0832

Description: vhdl代码多路开关,小系统使用,非常方便-VHDL code multi-channel switch, a small system, a very convenient
Platform: | Size: 1024 | Author: gcy | Hits:

[VHDL-FPGA-VerilogDAC0832

Description: 由VHDL 语言实现的DA0832器利用的是QUARTUES环境已经得到验证-By the VHDL language uses the DA0832 is QUARTUES environment has been tested
Platform: | Size: 173056 | Author: df | Hits:

[Windows Develop1002016p_Sa_3

Description: 用EDA控制DAC0832来实现数字信号转换为模拟信号-With EDA control to achieve the DAC0832 digital signal is converted to analog signals
Platform: | Size: 6144 | Author: chenli | Hits:

[VHDL-FPGA-Verilogsignal-generator

Description: FPGA 信号发生器的程序,在实验板上调试成功-FPGA signal generator procedures, the success of the experiment on-board debugging
Platform: | Size: 1024 | Author: 石头 | Hits:

[VHDL-FPGA-Verilogdac0832_VHDL

Description: 用Verilog HDL编写的0832源程序,实现对0832实现D/A转换。也可方便地转换为vhdl源程序。-Prepared by using Verilog HDL source code 0832, 0832 to achieve the realization of D/A conversion. Also can be easily converted to VHDL source code.
Platform: | Size: 58368 | Author: 楼夏岚 | Hits:

[VHDL-FPGA-VerilogDAC0832jiekoudianlu

Description: 本例为DAC0832接口电路VHDL原代码-The DAC0832 Interface Circuit Example for VHDL source code
Platform: | Size: 7168 | Author: liujingyang | Hits:

[SCMDAC0832

Description: DAC0832的应用能够 很好的例子 已经经过调试的-DAC0832 Application to good examples have been debug
Platform: | Size: 210944 | Author: xixi | Hits:

[Embeded-SCM Developdac0832

Description: 关于CPLD程序,采用VHDL语言实现DAC0832的时序控制-CPLD about procedures, the use of VHDL language implementation of the DAC0832 Timing Control
Platform: | Size: 200704 | Author: xiameng | Hits:

[VHDL-FPGA-VerilogVHDLprogram

Description: VHDL的程序包,包括LED控制,LCD控制、DAC0832接口电路、URAT、FSK\PSK\MASK调制、波形发生器等。适合工程参考-VHDL package, including the LED control, LCD control, DAC0832 Interface Circuit, URAT, FSK \ PSK \ MASK modulation, such as waveform generator. Reference for the project
Platform: | Size: 1133568 | Author: | Hits:

[VHDL-FPGA-VerilogDAC0832

Description: DAC0832 接口电路程序,产生频率为762.9Hz的锯齿波-DAC0832 interface circuit process, resulting in the sawtooth frequency of 762.9Hz
Platform: | Size: 3072 | Author: 葛棋棋 | Hits:

[VHDL-FPGA-VerilogDAC0832

Description: 硬件描述语言VHDL的D/A转换程序,可用于做实验,或者初学者借鉴.-Hardware Description Language VHDL of the D/A conversion process can be used for experiments, or the beginners learn.
Platform: | Size: 311296 | Author: czm | Hits:

[VHDL-FPGA-VerilogDAC0832

Description: DAC0832的VHDL程序与仿真。 目的是产生频率为762.9Hz的锯齿波。-DAC0832 and simulation of VHDL programs. The purpose is to generate the sawtooth frequency of 762.9Hz.
Platform: | Size: 6144 | Author: Zhu | Hits:

[VHDL-FPGA-VerilogDAC0832

Description: 关于FPGA控制dac0832的VHDL源码-With regard to the VHDL source FPGA control dac0832
Platform: | Size: 1024 | Author: liuyuting | Hits:

[VHDL-FPGA-VerilogDAC0832

Description: DAC0832 VHDL源程序 一个适合初学都的程序 -DAC0832 VHDL source code of a program suitable for both beginners
Platform: | Size: 121856 | Author: ping | Hits:

[VHDL-FPGA-VerilogDAC0832

Description: 以VHDL语言描绘的有关于DAC0832的驱动程序-Described in VHDL, the driver on the DAC0832
Platform: | Size: 1024 | Author: 李柏睿 | Hits:

[OtherDAC0832

Description: VHDL实现DAC0832数模转换,实现平台XC3S500E-VHDL DAC0832 digital to analog conversion platform XC3S500E
Platform: | Size: 321536 | Author: 王磊 | Hits:
« 12 3 »

CodeBus www.codebus.net