Welcome![Sign In][Sign Up]
Location:
Search - verilog code for adc

Search list

[SCMadc

Description: 编写verilog代码 利用实验箱上的A/D芯片完成模数转换。输入电压由实验箱提供,其幅值在0~5V间变化,由电位器控制。输出信号显示输入的模拟电压值,由数码管显示为2位BCD码的形式。-The preparation of Verilog code box on the use of experimental A/D chip to complete analog-digital conversion. Input voltage provided by the experimental box, and its amplitude in the 0 ~ 5V between changes in control by potentiometer. Output signal shows that the value of analog voltage input from a digital display for two BCD code of the form.
Platform: | Size: 22528 | Author: Ericwhu | Hits:

[VHDL-FPGA-VerilogADC_INTERFACE

Description: it is a verilog code written for MAX1886 ADC interin modelsim simulator and it will synthesize in xinlix ise 8.2i.i have tested it om my kit. -it is a verilog code written for MAX1886 ADC interin modelsim simulator and it will synthesize in xinlix ise 8.2i.i have tested it om my kit.
Platform: | Size: 6144 | Author: yasir ateeq | Hits:

[VHDL-FPGA-VerilogADC

Description: verilog code for ADC
Platform: | Size: 1024 | Author: nhat | Hits:

[VHDL-FPGA-VerilogVerilog_ADCtestcode

Description: ADC测试的verilog代码,可以下载到FPGA上面实现对ADC性能测试。-the test code for ADC of verilog
Platform: | Size: 145408 | Author: 刘晓志 | Hits:

[VHDL-FPGA-Verilogverilogsigma-deltaadc

Description: 用verilog编写的sigma-deltaADC的源程序。-code of verilog for sigma delta ADC
Platform: | Size: 4096 | Author: 刘晓志 | Hits:

[VHDL-FPGA-Verilog16bitADC

Description: verilog实现的16位模数转换器参考源代码-verilog to achieve 16-bit ADC reference source code
Platform: | Size: 1024 | Author: 龚俊杰 | Hits:

[VHDL-FPGA-VerilogADC

Description: a verilog code about dac of audio codec on fpga board.
Platform: | Size: 1024 | Author: DCLAB | Hits:

[VHDL-FPGA-Veriloghsadc

Description: ADC ADS62P49 It is TI adc verilog source code for
Platform: | Size: 2127872 | Author: anil | Hits:

[VHDL-FPGA-VerilogDE1-verilog

Description: Altera公司推出最新开发板DE1。该资料为DE1的FPGA 代码,包括ADC,音频处理,视频输出等,供大家参考使用。-Altera Corporation introduced the latest development board DE1. The data for the DE1 FPGA code, including the ADC, audio processing, video output, etc., for your use and reference.
Platform: | Size: 11901952 | Author: 小陈 | Hits:

[VHDL-FPGA-Verilogd2a_a2d

Description: a verilog-ams code for an ADC and DAC
Platform: | Size: 1024 | Author: oussama | Hits:

[VHDL-FPGA-Verilogaxi_jesd204b

Description: ADI JESD204接口的ADC与Xilinx FPGA接口IP,包含Verilog和VHDL源代码,AXI总线接口,ADC串行控制接口-ADI IP for interfacing JESD204 ADC to Xilinx FPGA, include Verilog/VHDL source code, AXI interface and serial config interface
Platform: | Size: 77824 | Author: Eddie | Hits:

[VHDL-FPGA-Verilogad7606

Description: AD7606采集代码,用于verilog 驱动 AD7606 adc SPI 串口方式(AD7606 acquisition code, used for Verilog drive AD7606 ADC SPI serial mode)
Platform: | Size: 1024 | Author: 烦恼的按钮 | Hits:

[VHDL-FPGA-VerilogADC_TLC549

Description: fpga TLC549ADC驱动程序,驱动ADC模块采集电压信息(FPGA Verilog Code for TLC549 Caluc ADC Value)
Platform: | Size: 2048 | Author: 安徒小生 | Hits:

CodeBus www.codebus.net