Welcome![Sign In][Sign Up]
Location:
Search - verilog pid

Search list

[Embeded-SCM Developverilog实例 100 多个

Description: verilog实例 100 多个-more than 100 examples of Verilog
Platform: | Size: 189440 | Author: 地方 | Hits:

[Software Engineering45668524655455

Description: 基于PID的介绍及实现,程序编写等自动温度控制-PID-based presentation and realized, programmers and other automatic temperature control
Platform: | Size: 177152 | Author: | Hits:

[VHDL-FPGA-Verilog76_PID

Description: 一个非常好的电机转速控制器VHDL源代码设计-A very good motor speed controller VHDL design source code
Platform: | Size: 2048 | Author: linew | Hits:

[VHDL-FPGA-Verilogfuzzy_inference

Description: VHDL模糊PID控制器模糊推理,推理结果:直接用经验值输出。-Fuzzy PID controller VHDL fuzzy reasoning, reasoning results: the direct use of the experience of the value of output.
Platform: | Size: 2048 | Author: Huanggeng | Hits:

[VHDL-FPGA-Verilogpid_vhdl_code

Description: PID controller... ... ... ... ... ... ... ... ..... -PID controller.....................................................
Platform: | Size: 71680 | Author: kiran | Hits:

[Embeded-SCM Developweifenxianxing

Description: 微分先行pid,c语言程序,平时做实验用的...大家不用编了,希望对大家有用-Difference to pid, c programming language, usually used to experiment ... we do not have compiled, we want to be useful
Platform: | Size: 5623808 | Author: jun7 | Hits:

[VHDL-FPGA-Verilogfpga_pid

Description: 基于FPGA的温度模糊自适应PID控制器的设计-FPGA-based PID temperature fuzzy adaptive controller design
Platform: | Size: 163840 | Author: 吴展理 | Hits:

[VHDL-FPGA-Verilog232543

Description: FPGA Implementation of QFT based Controller for a Buck type DC-DC Power Converter and Comparison with Fractional and Integral Order PID Controllers
Platform: | Size: 823296 | Author: Revathy | Hits:

[VHDL-FPGA-Verilogpid_controler_latest.tar

Description: PID控制器的verilog实现,做闭环控制器的人可以参考-PID controller verilog implementation of closed-loop controller may make reference to
Platform: | Size: 3072 | Author: | Hits:

[VHDL-FPGA-VerilogPID

Description: 用Verilog HDL编写的PID程序代码,成功调试,运行良好。-The source code of PID in Verilog HDL.Simulation was successful.
Platform: | Size: 1232896 | Author: Alen Fielding | Hits:

[VHDL-FPGA-Verilogpid

Description: It is a verilog code for a vedic multiplier using a barrel shifter
Platform: | Size: 1024 | Author: gopee | Hits:

[Software EngineeringFPGA_PID

Description: 本文讲的是基于FPGA的模糊PID控制器实现,详细介绍了Verilog HDL怎样用FPGA实现PID控制器-This article tells of fuzzy PID controller based on FPGA implementation details of how to use FPGA Verilog HDL realize the PID controller
Platform: | Size: 273408 | Author: 王瑶 | Hits:

[VHDL-FPGA-VerilogDIGITAL-PID

Description: Use verilog language design DIGITAL-PID source
Platform: | Size: 1034240 | Author: | Hits:

[Otherpidd

Description: VERILOG HDL pid算法 带仿真验证-pid by verilog HDL
Platform: | Size: 5151744 | Author: 董然 | Hits:

[VHDL-FPGA-VerilogFUZZY

Description: verilog 模糊PID 通过修改MIF文件 可以完成单个参数整定-FUZZY pid by verilog HDL
Platform: | Size: 1030144 | Author: 董然 | Hits:

[VHDL-FPGA-Verilogfpga

Description: pid算法控制电机运动,实现fpga与dsp的双口RAM通信(PID algorithm to control motor movement, the realization of FPGA and DSP dual port RAM communication)
Platform: | Size: 13411328 | Author: 峰语 | Hits:

[Embeded-SCM Developpidd

Description: verilog实现增量式PID算法,实测可用,带modelsim仿真(PID algorithm by verilog)
Platform: | Size: 1076224 | Author: fgghz | Hits:

[VHDL-FPGA-VerilogPI

Description: PID调节器,非常好用的PID调节器模块(PID regulator, very easy to use PID regulator module)
Platform: | Size: 1819648 | Author: wzy007 | Hits:

[VHDL-FPGA-VerilogPID_Verilog

Description: PID算法用verilog语言实现,实测可用,由三个模块组成(The PID algorithm is implemented in Verilog language. The actual measurement is available. It consists of three modules.)
Platform: | Size: 1024 | Author: wrnd | Hits:

[VHDL-FPGA-VerilogPID

Description: 利用Verilog语言实现PID增量式控制,输出占空比(Using Verilog language to realize PID incremental control and output duty cycle)
Platform: | Size: 1024 | Author: 人生苦短98 | Hits:

CodeBus www.codebus.net