Welcome![Sign In][Sign Up]
Location:
Search - vend

Search list

[Other Embeded programVend_request

Description: cypress USB 芯片做的 厂商请求原代码。-cypress USB chip manufacturers do request the original code.
Platform: | Size: 25600 | Author: windmed | Hits:

[VHDL-FPGA-Verilogvend

Description: 自动售货机,根据所要的东西,自动收费,并进行找零-Vending machine, according to what you want to automatically charge and conduct Keep the change
Platform: | Size: 1024 | Author: xiaoyu | Hits:

[3D GraphicVESTA

Description: 用于晶体结构建模,数据可视化。可以直接读取vasp的电荷密度文件,可视化自旋电荷密度图。-VESTA is a successor to two 3D visualization programs, VICS and VEND in the VENUS package. Atoms can be drawn as ball & stick, space filling, polyhedral, stick, wireframe, thermal ellipspoids. Mouse click on an object (atoms, bonds, polyhedra) provides a variety of crystallographic information, e.g., fractional coordinates, symmetry operations and translation vectors, distances, bond and torsion angles, etc. Vectors showing magnetic moments or directions of static displacements can be attached to atoms. Isosurfaces can be drawn for wave functions, nuclear densities. Pixel operations are supported between 3D data ets, e.g., subtracting electron densities. Lattice planes with variable opacities can be inserted. With a 2D Data Display window, 2D distribution of a physical quantity on a lattice plane can be visualized as a colored map with contour lines or Bird s-eye view.
Platform: | Size: 3456000 | Author: samuel | Hits:

[Software EngineeringVerilogCh4

Description: VHDL and Verilog code referrals tools, EDA staff to be very helpful. vend machine
Platform: | Size: 159744 | Author: ww | Hits:

[OpenCVsmokedetection

Description: 利用提升小波的方法进行烟雾检测,效果很好-Using wavelet methods to enhance smoke detection, good results
Platform: | Size: 303104 | Author: jason | Hits:

[SCMVendingMachine

Description: 本文详细介绍了自动售货机系统的方案设计、硬件选择、软件规划和编写,并重点描述了自动售货机系统的工作原理、系统设计、软件编程的原则和技巧。该系统以单片机AT89C51芯片为核心,采用集中控制方式实现了对自动售货机全过程的自动控制。-Vend Machine
Platform: | Size: 1517568 | Author: Jackie Liang | Hits:

[Othervend

Description: program for calculation energy of activations to cure defect in metallic films evaporated in vacuum
Platform: | Size: 1224704 | Author: zheka | Hits:

[Graph Recognizevend

Description: 自动售货机的C++代码 能识别货币面值 并且自动找零-The C++ program of vend
Platform: | Size: 952320 | Author: 周兴业 | Hits:

[VHDL-FPGA-VerilogVEND

Description: 自动售货机,可投5 角和一元两种硬币; (2) 饮料单价为2.5 元; (3) 系统能够根据用户输入的硬币,判断钱币是否足够,当所投硬币达到或者超过购买者所选面值时,则根据顾客的要求自动售货,并找回剩余的硬币,然后回到初始状态,当所投硬币面值不够时,则给出提示,并通过一个复位键退回所投硬币。然后回到初始状态。 -Vending Machine
Platform: | Size: 1024 | Author: | Hits:

[VHDL-FPGA-VerilogVEND

Description: 此为第14.7.8章的门级描述代码 实现的的自动售报机 文件名为vend.gv,注意与vend.v区分-gate level description Section 14.7.8 of a FSM for a newspaper vending machine
Platform: | Size: 1024 | Author: | Hits:

[Software EngineeringPLC--yuan

Description: 自动售货机是无需销售人员,可根据消费者投入钱币计算价格自动贩卖商品的智能机器。-The vending machines are intelligent machines that can calculate the prices of goods according to the consumers’ inputs and vend goods without a sales staff.
Platform: | Size: 584704 | Author: 敏敏 | Hits:

CodeBus www.codebus.net