Welcome![Sign In][Sign Up]
Location:
Search - usart 82

Search list

[VHDL-FPGA-Verilogusart_verilog

Description: 通用串行异步收发器8251的Verilog HDL源代码.doc-Universal Serial Asynchronous Receiver Transmitter 8251 the Verilog HDL source code. Doc
Platform: | Size: 15360 | Author: 赵国柱 | Hits:

CodeBus www.codebus.net