Welcome![Sign In][Sign Up]
Location:
Search - traffic signal sensor

Search list

[Othertraffic-led-zhongnandaxue

Description: 中南大学单片机交通灯课程设计,程序实现功能: 1.在一个十字路口的一条主干道和一条支干道上分别装上一套红、黄、绿3种信号灯, 用钮子开关模拟十字路口的车辆检测传感器信号。在一般情况下,主干道上的绿灯常亮,而支干道总是红灯。 2.当检测到支干道上来车时(用按键开关模拟),主干道的绿灯转为黄灯,持续4S后,又变为红灯,同时支干道由红灯变为绿灯。 3.支干道绿灯亮后,或者检测到主干道上来了3辆(用3个钮子开关模拟),或者虽未来3辆车, 但绿灯已经持续了25s,则支干道立即变为黄灯,4s后转为红灯,同时主干道由红灯变为绿灯。 4.当有紧急情况时,如有120救护车,119救火时等情况,所有车让路,主干道支干道变红灯。-Central South University microcontroller traffic lights curriculum design, program functions: a crossroads of a main road and a branch roads were fitted with a set of red, yellow, green, three kinds of signal lights, toggle switch analog crossroads vehicle detection sensor signal. In the general case, the green light is on the main road, branch roads always red light. When the detected the branch roads up car to (key switch analog), the main road the green light to a yellow light, red light, and becomes continuous 4S, and branch roads by the red light turns green. 3. Branch roads green light, or detected by the main road up the three (3 Toggle Switches Analog), or the next three cars, but the green light has been going on 25s, branch roads immediately becomes yellow, 4s turns red, the same time the main road by the red light turns green. When the emergency, subject to 120 ambulances, 119 fire fighting, all car make way, the main road branch roads goes red.
Platform: | Size: 1024 | Author: sun | Hits:

[Otherdistributed

Description: matlab 仿真程序可用于kalman滤波应用。- INTRODUCTION This example simulates distributed control of a DC-servo. The example contains four computer nodes, each represented by a TrueTime kernel block. A time-driven sensor node samples the process periodically and sends the samples over the network to the controller node. The control task in this node calculates the control signal and sends the result to the actuator node, where it is subsequently actuated. The simulation also involves an interfering node sending disturbing traffic over the network, and a disturbing high-priority task executing in the controller node
Platform: | Size: 21504 | Author: zhangxiangbin | Hits:

[VHDL-FPGA-VerilogTraffic_Light_Controller

Description: 该控制器在设计上控制了一个繁忙的高速公路(高速路的红绿灯) 相交一岔路(SRD)等具有相对较轻的交通负荷。图1显示 在交通灯的位置。在十字路口传感器检测汽车的存在 在公路上和岔路。该图意味着,无论是公路和副作用 道路提供每个方向的交通单一车道。这两个普通的道路(红, 黄,绿)信号灯。交集装有一个传感器。-The controller to be designed controls the traffic lights of a busy highway (HWY) intersecting a side road (SRD) that has relatively lighter traffic load. Figure 1 shows the location of the traffic lights. Sensors at the intersection detect the presence of cars on the highway and side road. The Figure implies that both the highway and side roads offer single lanes for traffic in each direction. Both roads have ordinary (Red, Yellow, Green) signal lights. The intersection is fitted with a sensor.
Platform: | Size: 16384 | Author: jimmy sia | Hits:

[Embeded-SCM DevelopTraffic.Sensor.and.Intelligent.Controller

Description: This traffic signal controller is based on uC AT89S5X. It is intelligent in the sense that it measures the traffic on two lanes and sets the signal duration accordingly. Proteus simulations with sources and compiled binaries have been included. The clocks in the simulation model the traffic on two lanes.-This is traffic signal controller is based on uC AT89S5X. It is intelligent in the sense that it measures the traffic on two lanes and sets the signal duration accordingly. Proteus simulations with sources and compiled binaries have been included. The clocks in the simulation model the traffic on two lanes.
Platform: | Size: 47104 | Author: zcool | Hits:

[VHDL-FPGA-VerilogEDA5

Description: 交通灯控制器设计:1.有MR(主红)、MY(主黄)、MG(主绿)、CR(乡红)、CY(乡黄)、CG(乡绿)六盏交通灯需要控制; 2.交通灯由绿→红有4秒黄灯亮的间隔时间,由红→绿没有间隔时间; 3.系统有MRCY、MRCG、MYCR、MGCR四个状态; 4.相间公路右侧各埋有一个传感器,当有车辆通过相间公路时,发出请求信号S; 5.平时系统停留在MGCR状态,一旦S信号有效,经MRCY转入MRCG状态,但要保证MRCG状态也不得短于一分钟; 6.一旦S信号无效,系统脱离MRCG状态。随即经MRCY转入进入MGCR状态,计时S信号一直有效,MRCG状态也不得长于20秒钟。 -Traffic light controller design: 1. With MR (the main red), MY (Master Wong), MG (Master Green), CR (township red), CY (Rural yellow), CG (village green) six traffic lights need to control 2. → red traffic light from green to bright yellow with 4 seconds intervals, not from red → green interval 3. System MRCY, MRCG, MYCR, MGCR four states 4. The right side of the road white buried a sensor, when a vehicle through the white road, the request signal S 5. MGCR usually stay in the state system, once the S signal is valid, the MRCY into MRCG state, but also to ensure that the state shall not be less MRCG one minute 6. Once the S signal is invalid, the system from MRCG state. Then transferred into MGCR by MRCY state S signal timing has been effective, MRCG status is no longer than 20 seconds.
Platform: | Size: 1037312 | Author: 周旋 | Hits:

[File FormatEDA5

Description: 一条主干道,一条乡间公路。组成十字路口,要求优先保证主干道通行。有MR(主红)、MY(主黄)、MG(主绿)、CR(乡红)、CY(乡黄)、CG(乡绿)六盏交通灯需要控制;交通灯由绿→红有4秒黄灯亮的间隔时间,由红→绿没有间隔时间;系统有MRCY、MRCG、MYCR、MGCR四个状态; 乡间公路右侧各埋有一个传感器,当有车辆通过乡间公路时,发出请求信号S=1,其余时间S=0; -A main road, down a country road. Composed of a crossroads, requiring priority to ensuring the main road traffic. With MR (the main red), MY (Master Wong), MG (Master Green), CR (township red), CY (Rural yellow), CG (village green) six traffic lights need to control traffic lights from green → red, 4 second yellow light interval, not by the red → green interval system MRCY, MRCG, MYCR, MGCR four state country road on the right of each buried a sensor, when the country road by a vehicle when the request signal S = 1, rest of the time S = 0
Platform: | Size: 90112 | Author: 维吉尔 | Hits:

[Software EngineeringtrafficLight_editedversion

Description: Traffic light problems in verilog code. Consider a controller for traffic at the intersection of a main highway and a country road The traffic signal for the main highway gets highest priority because cars are continuously present on the main highway. Thus, the main highway signal remains green by default. Occasionally, cars from the country road arrive at the traffic light signal. The traffic signal for the country road must turn green only long enough to let the cars on the country road go. As soon as there are no cars on the country road, the country road traffic signal turns yellow and then red and the traffic signal on the main highway turns green again. There is a sensor to detect cars waiting on the country road. The sensor sends a signal X as input to the controller. X=1 if there are cars on the country road otherwise, X=0. There are delays on transitions of states (Delay of Red & Green lights is longer than Yellow light).-Traffic light problems in verilog code. Consider a controller for traffic at the intersection of a main highway and a country road The traffic signal for the main highway gets highest priority because cars are continuously present on the main highway. Thus, the main highway signal remains green by default. Occasionally, cars from the country road arrive at the traffic light signal. The traffic signal for the country road must turn green only long enough to let the cars on the country road go. As soon as there are no cars on the country road, the country road traffic signal turns yellow and then red and the traffic signal on the main highway turns green again. There is a sensor to detect cars waiting on the country road. The sensor sends a signal X as input to the controller. X=1 if there are cars on the country road otherwise, X=0. There are delays on transitions of states (Delay of Red & Green lights is longer than Yellow light).
Platform: | Size: 1024 | Author: awerjiop | Hits:

[Othertraffic-light

Description: 设交叉路口的交通情况:有两条通行道路,分别沿方向1和方向2通行。每一方向都有红、绿、黄组成的交通信号灯。这些信号灯由相应的高有效信号(R1,G1,Y1,R2,G2,Y2)来驱动。此外,每个方向上都装有一个传感器,当相应方向有车辆要求通行时,传感器给出高电平有效的信号。-The set intersection traffic situation: two access roads, respectively, along the direction 1 and direction 2 pass. Each direction has a red, green and yellow traffic lights. These lights are driven by the corresponding active-high signal (R1, G1, Y1, R2, G2, Y2). Furthermore, in each direction are equipped with a sensor a vehicle request accessible when the sensor active high signal is given, when the corresponding direction.
Platform: | Size: 11264 | Author: | Hits:

[Othertrans

Description: 学校拟在校园中繁忙的学术路(A)和文化路(C)的十字路口安装交通灯,并有探测器TA和TC。当按下复位键RST时,学术路上绿灯亮,文化路上红灯亮。每隔5秒,控制器检查学术路传感器的输出,若学术路上有人,灯不改变,若学术路上有人,则灯变为黄灯,保持5秒,然后变成红灯亮,同时文化路上辨别绿灯亮。在这个状态下,每隔5秒,控制器检查文化路上是否有人,若有人,保持绿灯亮,若没人,则此路变为黄灯,保持5秒,然后变成红灯亮。状态机周期为5秒的时钟信号-School campus to be in a busy academic path (A) and cultural route (C) the installation of traffic lights at the crossroads, and a detector TA and TC. When you press the reset button RST, academic road the green light, red light on the road culture. Every five seconds, the controller checks the output of the sensor academic way, if someone academic way, the light does not change, if someone academic way, the light turns yellow, 5 seconds, and then a red light, and the way to distinguish culture green light. In this state, every 5 seconds, the controller checks whether the way culture was, if anyone, to keep the green light, if no one, this road becomes yellow, 5 seconds, and then a red light. Period of 5 seconds the state machine clock signal
Platform: | Size: 1024 | Author: 豆豆 | Hits:

[hardware designstreetlights-based-on-VHDL

Description: 本程序设计了一个基于FPGA的路灯控制系统,具有时控、声光控、交通控制的功能,即不但可通过对系统人工设定开关灯时间来完成其工作,也可通过采集实际环境的光信号和声音信号来控制路灯工作,还可以通过交通状况控制;此外可以通过故障检测功能,实现对路灯的故障检测,并且可以由七段数码管显示故障路灯编号;同时,利用热敏电阻等器件组成外部电路,用来检测电路温度,此电路具有报警功能,保证系统在正常温度范围内工作。在交通状况控制模式下,利用红外传感器探测目标位置,进而确定输出高低电平。在仿真模拟中结果正确,实现了所有功能。-This program is designed in a street FPGA-based control system, having control, sound and light control, traffic control functions, i.e. not only the system can manually set the switch lamp time to complete its work by, also be collected by the physical environment an optical signal and an audio signal lights controlling the work can also be controlled by traffic condition can furthermore fault detection, fault detection of the street, street number and the failure may be displayed by seven-segment the same time, like using a thermistor devices composed of an external circuit, a circuit for detecting a temperature, this circuit has a warning function to ensure the system operates in the normal temperature range. In the traffic control mode using an infrared sensor to detect the position of the target, and to determine the output high and low. The correct result in simulation, the realization of all the features.
Platform: | Size: 19456 | Author: wang | Hits:

CodeBus www.codebus.net