Welcome![Sign In][Sign Up]
Location:
Search - textio

Search list

[Other resourcetestbench

Description: 一片英语文章,详细描述了testbench的编写,尤其是assert和textio的用法,老外的文章就是不一样,看了之后让人茅塞顿开
Platform: | Size: 2094835 | Author: horse | Hits:

[Other resourcetextio

Description: vhdl testbench的编写,textio的编写是一个难点,也是一个重点,而这是本人搜集的多篇关于textio的文章,同时附有简单注释!
Platform: | Size: 1327709 | Author: horse | Hits:

[Othertestbench

Description: 一片英语文章,详细描述了testbench的编写,尤其是assert和textio的用法,老外的文章就是不一样,看了之后让人茅塞顿开-An English article, a detailed description of the Testbench preparation, especially the use of assert and textio, a foreigner is not the same article, after seeing people茅塞顿开
Platform: | Size: 2094080 | Author: horse | Hits:

[VHDL-FPGA-Verilogtextio

Description: vhdl testbench的编写,textio的编写是一个难点,也是一个重点,而这是本人搜集的多篇关于textio的文章,同时附有简单注释!-vhdl testbench preparation, textio the preparation is a difficult, but also a focus, and this is my collection of articles on textio the article, at the same time with a simple note!
Platform: | Size: 1327104 | Author: horse | Hits:

[VHDL-FPGA-VerilogFIR5

Description: 5阶数字滤波器FIR5,包括了Textio模拟等完整设计,VHDL-5_level digital filler, including Textio simulation
Platform: | Size: 9216 | Author: 大虾米 | Hits:

[VHDL-FPGA-VerilogModelsim_fredevider_testbench_TEXTIO

Description: 此文档通过分频器的例子描述了如何使用modelsim,如何编写testbench以及textio的使用-This document is an example through the divider describes how to use the modelsim, how to write a testbench and use textio
Platform: | Size: 256000 | Author: 二米阳光 | Hits:

[Otherlibrary

Description: 关于在进行VHDL仿真时,当读取大量数据时用到TEXTIO时的例子-VHDL simulation on the course, when reading a large number of data used in examples when the TEXTIO
Platform: | Size: 3072 | Author: hanxuelian | Hits:

[OtherTEXTI0-VHDL

Description: TEXTIO在VHDL中的仿真及应用,主要介绍了TEXTIOTEXTIO的基本原理,编写方法,测试方法- Simulation and application of the TEXTIO in the VHDL,
Platform: | Size: 176128 | Author: ma li | Hits:

[JSP/JavaTextIO

Description: TextIO is a file that allows the user to input a desired number.
Platform: | Size: 12288 | Author: je | Hits:

[ELanguagetextio

Description: 学习textio的重要资料-Learn important information textio
Platform: | Size: 1328128 | Author: yuexinqi | Hits:

[Windows DevelopTextIO.rar

Description: TextIO.java定义一个类包含一些静态方法做输入/输出。这些方法可以更容易地使用标准输入流和输出流,System.in和System.out。 textio程序还支持其他输入源和输出目的地,如文件。需要注意的是这个版本的的textio需要Java 5.0(或更高版本)。,TextIO.java defines a class containing some static methods for doing input/output. These methods make it easier to use the standard input and output streams, System.in and System.out. TextIO also supports other input sources and output destinations, such as files. Note that this version of TextIO requires Java 5.0 (or higher). The TextIO class defined by this file is only useful in a command-line environment, and it might be inconvenient to use in integrated development environments such as Eclipse in which standard input does not work particularly well.
Platform: | Size: 7168 | Author: fiona | Hits:

[matlabcomplicated-digital-system

Description: 应用TEXTIO和MATLAB进行复杂数字系统仿真-Application of TEXTIO and MATLAB simulation of complicated digital system
Platform: | Size: 333824 | Author: 张凯杰 | Hits:

[VHDL-FPGA-Verilogtextio03

Description: 在QUARTUS II 下用 MODELSIM 仿真的例子,用TEXTIO文件进行仿真,带读取数据的文本文件,注释也比较详尽。对初学仿真有帮助。-In QUARTUS II with MODELSIM simulation examples, simulation with TEXTIO file, a text file with read data, comments are more detailed. Simulation helpful for beginners.
Platform: | Size: 326656 | Author: xuegamgma | Hits:

[VHDL-FPGA-Verilogtextiowrite

Description: quartus ii 环境下,一个完整的利用TEXTIO仿真的源代码,包括读数据文件和输出数据到文件。-Under quartus ii environment, a complete simulation using TEXTIO source code, including reading data files and output data to a file.
Platform: | Size: 356352 | Author: xuegamgma | Hits:

[Software Engineeringtexio-user-method

Description: T E X T I O 在V H D L 仿真与磁盘文件之间架起了桥梁,使用文本文件扩展V H D L 的仿真功能。本文介绍 TEXTIO 程序包,以一个加法器实例说明TEXTIO 的使用方法,最后使用ModelSim 对设计进行仿真, 并分析仿真结果。-TEXTIO between VHDL simulation and bridges the gap between the disk file, use a text file extension of VHDL simulation. This article describes the TEXTIO package to an adder TEXTIO examples of usage, the final design using ModelSim simulation, and analysis of simulation results.
Platform: | Size: 171008 | Author: fang | Hits:

[VHDL-FPGA-Verilogfft-ip-core

Description: 通过调用ISE中的fft IPcore实现了fft计算,输入数据通过textio从文本文件读入,处理后的数据再读入文本中。由于数据精度问题,与MATLAB计算的结果存在一定的误差-By calling the ISE of FFT IPcore implements the FFT computation, the input data through textio read a text file, after processing the data to read the text again.Due to the data accuracy, and MATLAB calculation result has certain error
Platform: | Size: 6157312 | Author: | Hits:

[OtherMyFFT

Description: 该程序可实现基于IP核的FFT算法,TESTBENCH用TEXTIO输入输出数据-The program can achieve FFT algorithm based on IP core, TESTBENCH based on TEXTIO input and output data
Platform: | Size: 3076096 | Author: 于子轩 | Hits:

[VHDL-FPGA-VerilogTEXTIO_in_vhdl_sim

Description: VHDL仿真中的TEXTIO及其应用,介绍、定义、仿真-TEXTIO VHDL simulation and its applications, introduction, definition, simulation
Platform: | Size: 16384 | Author: bill | Hits:

CodeBus www.codebus.net