Welcome![Sign In][Sign Up]
Location:
Search - temperature sensor VHDL

Search list

[assembly languagewendudeBCDmaxianshi

Description: 温度的BCD码显示 在0809上显视的是电压值,所以必须将电压转换成温度值。LM35传感器的电压温度特性为“10mV/摄氏度”,经放大电路放大四倍后为40mV/摄氏度,因此对于255来说,每个数代表了20mV,也就是说电压值中的每个00000002代表了温度值中的00000001,由此可编出一张BCD码转换表,此部分程序如下: -Temperature BCD code displayed in the 0809 significantly depending on the voltage value, so the voltage must be converted into temperature values. LM35 temperature sensor voltage characteristics 10mV/° C , by the amplifier circuit to enlarge four times the post-40mV/° C, so for example 255, each number represents a 20mV, voltage value that is to say each represents 00,000,002 temperature value of 00000001, which can be made available for out of a BCD code conversion table, this part of the procedures are as follows:
Platform: | Size: 1024 | Author: xuye | Hits:

[SCMVHDL

Description: 数码管显示,温度传感,红外感应,流水灯蜂鸣器,PS2,RS232的相关VHDL程序,已经在MAX-IIEPM570开发板上测试成功-Digital display, temperature sensor, infrared sensor, water lights buzzer, PS2, RS232 relevant VHDL procedures have been developed at MAX-IIEPM570 the success of on-board test
Platform: | Size: 9216 | Author: 刘运学 | Hits:

[VHDL-FPGA-VerilogIIC_AD75

Description: I2C温度传感器ADT75的控制源码 使用verilog 状态机实现 易入门-I2C for ADT75 temperature sensor
Platform: | Size: 3072 | Author: 王欢 | Hits:

[Otherget_6675_temp_2

Description: MAXII 240 CPLD和6675 开发的0-1023.75度的温度传感数据采集系统,用seg7 LED显示,精度0.25度。探头是K型测温线,Quartus II 6.0调是通过,在cpld开发板上面试验成功-MAXII 240cpld and 0-1023.75 development of 6675 degrees C temperature sensor data acquisition system, using seg7 LED shows that the accuracy of 0.25 degrees. K-type temperature probe is a line, Quartus II 6.0 transfer is approved, the development board cpld successfully tested above. The MAX6675 performs cold-junction compensation and digitizes the signal from a type-K thermocouple. The data is output in a 12-bit resolution, SPI™-compatible, read-only format. This converter resolves temperatures to 0.25°C, allows readings as high as+1024°C, and exhibits thermocouple accuracy of 8LSBs for temperatures ranging from 0°C to+700°C. controller is cpld
Platform: | Size: 464896 | Author: 谭建平 | Hits:

[Software EngineeringVHDL_fire_alarm_detection

Description: vhdl source code of fire detection system/fire alarm system especially for high rise building? This among the requirement :- according to my "fire detection system for tall building" project by using Spartan 3E FPGA, the vhdl program need to include all of dis specification:- First of all, we ll put sensor/smoke detector each floor in the tall building. 1) alarm ll activated if the sensor/smoke detector sense a fire 2)at the same time, the actuator will activate in order to control such a elevator and any other machines in the building. 3)the controller will display which floor caught by fire and the sensor/smoke detector initial or current temperature. 4)If there is false alarm, we can stop it by push the reset button .-vhdl source code of fire detection system/fire alarm system especially for high rise building? This is among the requirement :- according to my "fire detection system for tall building" project by using Spartan 3E FPGA, the vhdl program need to include all of dis specification:- First of all, we ll put sensor/smoke detector each floor in the tall building. 1) alarm ll activated if the sensor/smoke detector sense a fire 2)at the same time, the actuator will activate in order to control such a elevator and any other machines in the building. 3)the controller will display which floor caught by fire and the sensor/smoke detector initial or current temperature. 4)If there is false alarm, we can stop it by push the reset button .
Platform: | Size: 1024 | Author: subin | Hits:

[VHDL-FPGA-VerilogDHT22_v1.1

Description: 我以前曾发过V1.0版的,这是此版的修正版v1.1,修正了以前版本中的一个错误,即只能读一个数据后就再也读不出温度数据的错误。 这个是用Quartus II软件写的Verilog HDL语言写的与温湿度传感器DHT2x通信的代码. 里面有详细的注解. 主要用于DHT2x单线总线通信转换为8位并行总线通信,应用于具有外部8位总线访问功能的单片机直接读取温湿度数据. 此程序在EPM7128SLC-10中成功测试. -I' ve once spoke V1.0 version, which is a modified version of this edition v1.1, fixes a bug in previous versions, that is no longer only after reading a data temperature data could not be read errors. This is done using Quartus II software, written in Verilog HDL language written with the temperature and humidity sensor DHT2x communications code. Which detailed footnotes. DHT2x mainly used for single-wire bus communication is converted to 8-bit parallel bus communication, used with an external 8-bit bus MCU read directly access features temperature and humidity data. EPM7128SLC-10 in this program successfully tested.
Platform: | Size: 1094656 | Author: yuantielei | Hits:

[assembly languageDS18B20

Description: 可以对温度进行自由设定,到那时必须在0-100摄氏度单位内,设定时可以适时的显示说设定的温度值,温度是可以自由设置的,传感器的检测值与设定的温度比较,可以显示在七段发光二极管上-The temperature can be set freely, then the unit must be 0-100 degrees Celsius, setting a timely display of said set temperature, the temperature can be freely set, the sensor detection value compared with the set temperature , can be displayed on the seven-segment LED
Platform: | Size: 8192 | Author: 聂海 | Hits:

[VHDL-FPGA-VerilogDS18B20

Description: 本程序是基于VHDL语言,在EPM570上开发的温度传感器DS18B20驱动及数码管显示程序-This procedure is based on the VHDL language, developed in the EPM570 DS18B20 drive temperature sensor and digital control display program
Platform: | Size: 578560 | Author: 张衡星 | Hits:

[Other5956474temperature

Description: DS18b20 temperature sensor vhdl code
Platform: | Size: 2048 | Author: severance15 | Hits:

[VHDL-FPGA-VerilogProject_kw_2_until-LCD

Description: A code that i developed for temperature sensor using VHDL
Platform: | Size: 459776 | Author: Brian | Hits:

[VHDL-FPGA-VerilogDS18B20_test_3_vhdl

Description: ds18b20温度传感器,使用vhdl实现-ds18b20 temperature sensor, achieved using vhdl
Platform: | Size: 1361920 | Author: qifo | Hits:

[source in ebookEP14_8051Core_DS18B20_temperature

Description: 用VHDL语言写的DS18B20温度传感器测温。-Write VHDL DS18B20 temperature sensor measurement.
Platform: | Size: 1522688 | Author: 李弘扬 | Hits:

[VHDL-FPGA-VerilogDS18B20

Description: DS18B20温度传感器的基于FPGA的编程通信,使用VHDL语言-DS18B20 temperature sensor based FPGA programming communication with VHDL
Platform: | Size: 720896 | Author: 龙舟共渡 | Hits:

[VHDL-FPGA-Verilogds

Description: 用VHDL实现的DS18B20温度传感器驱动,有效温度数据位为9位,每92ms刷新一次温度数据。-DS18B20 temperature sensor using VHDL drive, the data bits of the effective temperature of 9 per 92ms refresh time temperature data.
Platform: | Size: 1024 | Author: RH | Hits:

[VHDL-FPGA-VerilogDS18B20

Description: 利用VHDL进行嵌入式设计编程,DS18B20数字温度传感器接口程序设计-VHDL programming of embedded design DS18B20 digital temperature sensor interface programming.
Platform: | Size: 736256 | Author: 叶子 | Hits:

[VHDL-FPGA-VerilogDS18b20

Description: VHDL FPGA 温度传感器D18B20驱动程序 带工程文件 下载可以直接使用-VHDL FPGA temperature sensor D18B20 driver with a project file can be downloaded directly use
Platform: | Size: 850944 | Author: 赵音 | Hits:

[VHDL-FPGA-VerilogDS18B20

Description: VHDL语言写的DS1802温度传感器控制程序,可运行-VHDL language used to write the DS1802 temperature sensor control program can be run
Platform: | Size: 734208 | Author: 冯科磊 | Hits:

[VHDL-FPGA-Veriloglm75

Description: 温度传感器的VHDL实现,可以实现对温度的测量,基于赛克隆4芯片实现-温度传感器的VHDL实现,可以实现对温度的测量,基于赛克隆4芯片实现 The temperature sensor VHDL, can realize the measurement of the temperature, season 4 chip based on cloning
Platform: | Size: 12288 | Author: 奥林 | Hits:

[Otherdth22

Description: dht22湿度温度传感器FPGA采集温湿度(Dht22 humidity and temperature sensor FPGA to collect temperature and humidity)
Platform: | Size: 337920 | Author: 咻咻, | Hits:

[VHDL-FPGA-Verilogtempcyclone

Description: vhdl 1602 lcd with temperature sensor (one wire)
Platform: | Size: 397312 | Author: igor5451 | Hits:
« 12 »

CodeBus www.codebus.net