Welcome![Sign In][Sign Up]
Location:
Search - stepper motor vhdl code

Search list

[Othermotorctrl

Description: 滤波器设计及数据采集系统,希望对你有用,欢迎分享。本人想要VHDL的步进电机控制代码-filter design and data acquisition systems in the hope that useful to you, welcome to share. I want VHDL code stepper motor control
Platform: | Size: 252096 | Author: 代松洮 | Hits:

[Other resourcesteppermotorVHDL

Description: 一种全新的VHDL控制步进电机驱动代码,以供学习-a new VHDL controlled stepper motor driver code for learning
Platform: | Size: 1230 | Author: xufeng | Hits:

[Othermotorctrl

Description: 滤波器设计及数据采集系统,希望对你有用,欢迎分享。本人想要VHDL的步进电机控制代码-filter design and data acquisition systems in the hope that useful to you, welcome to share. I want VHDL code stepper motor control
Platform: | Size: 251904 | Author: 代松洮 | Hits:

[VHDL-FPGA-Verilogdianji

Description: 基于FPGA系统的步进电机控制,内涵详细的源代码-FPGA-based system of stepper motor control, detailed content of the source code! !
Platform: | Size: 63488 | Author: 刘嵘 | Hits:

[VHDL-FPGA-VerilogbujindianjiVHDL

Description:
Platform: | Size: 5120 | Author: 罗辉 | Hits:

[VHDL-FPGA-VerilogsteppermotorVHDL

Description: 一种全新的VHDL控制步进电机驱动代码,以供学习-a new VHDL controlled stepper motor driver code for learning
Platform: | Size: 1024 | Author: xufeng | Hits:

[VHDL-FPGA-Verilog76_PID

Description: 一个非常好的电机转速控制器VHDL源代码设计-A very good motor speed controller VHDL design source code
Platform: | Size: 2048 | Author: linew | Hits:

[VHDL-FPGA-Verilogstep_motor

Description: 本程序设计一个基于FPGA的4相步进电机定位控制系统。由步进电机方向设定电路模块、步进电机步进移动与定位控制模块和编码输出模块构成。前两个模块完成电机旋转方向设定,激磁方式设定和定位角度的换算等工作,后一个模块用于对换算后的角度量编码输出。-This procedure to design an FPGA-based 4-phase stepper motor positioning control system. Direction set by the stepper motor circuit module, stepper motor stepper movement and positioning control module and the code output modules. The first two modules complete the motor rotation direction setting, exciting way of setting the angle and positioning of the conversion work, after a module for the point of view of the volume of converted output encoding.
Platform: | Size: 165888 | Author: yato_logo | Hits:

[SCMmotor

Description: ALTEA EPM7128 CPLD的用于控制三维步进电机的VHDL源代码-ALTEA EPM7128 CPLD is used to control the stepper motor of three-dimensional VHDL source code
Platform: | Size: 2048 | Author: lauking | Hits:

[VHDL-FPGA-Verilogbujindianji

Description: vhdl代码!步进电机定位控制系统VHDL程序与仿真!初学者可以参考参考-VHDL code! Stepper motor positioning control system and simulation of VHDL procedures! Beginners can refer to reference
Platform: | Size: 5120 | Author: daxiadian2 | Hits:

[VHDL-FPGA-Verilogchengxu

Description: 关于频率计程序的设计,LCD控制程序,PSK调制解调的控制程序,MSK调制解调控制程序,电梯控制程序,TLC5510控制程序,基带码发生器程序,电子琴程序,自动售货机程序,电子时钟程序,步进电机控制定位系统,波形发生器,出租车计价器,ADCO809-Procedures regarding the design of frequency meter, LCD control procedures, PSK modulation and demodulation of the control procedures, MSK modulation and demodulation control procedures, procedures for elevator control, TLC5510 control procedures, base-band code generator procedures, organ procedures vending machine procedures, electronic clock procedures, stepper motor control of positioning systems, waveform generator, Taximeter, ADCO809
Platform: | Size: 1277952 | Author: chenjy | Hits:

[Software EngineeringVHDLsourcecode

Description: source code for counter, freq devider, traffic light, stepper motor, flipflop
Platform: | Size: 2048 | Author: ibnudahlan | Hits:

[Other Embeded programmotorrun

Description: This code is used to drive a unipolar stepper motor using SPARTAN3E FPGA. and coding is done in verilog
Platform: | Size: 434176 | Author: kal | Hits:

[Software EngineeringStepper_controller_MAx

Description: stepper motor controller vhdl and verilog code is given with explainintion testbench in verilog quartus and modelsim implementation is also awailable -stepper motor controller vhdl and verilog code is given with explainintion testbench in verilog quartus and modelsim implementation is also awailable
Platform: | Size: 76800 | Author: pravin | Hits:

[VHDL-FPGA-Verilogcounter

Description: 基于VHDL的计数代码,可用于FPGA芯片对步进电机的控制-Count based on VHDL code for FPGA chips can be used to control stepper motor
Platform: | Size: 1024 | Author: sun | Hits:

[VHDL-FPGA-VerilogCPLD_stepper_motor

Description: 用CPLD控制简单的步进电机,有源码和仿真文件。-Simple to use CPLD stepper motor control, with source code and simulation files.
Platform: | Size: 412672 | Author: kele | Hits:

[VHDL-FPGA-Verilogstate-machine-code

Description: 用Altera Quartus II 的VHDL语言完成的状态机控制步进电机的程序员代码-The use of Altera Quartus II VHDL language to complete the state machine code programmer stepper motor control
Platform: | Size: 274432 | Author: nanana | Hits:

[VHDL-FPGA-VerilogStepperMotorDrivepinassign

Description: stepper motor vhdl pin assignments and code
Platform: | Size: 3072 | Author: gariyak ak | Hits:

[Software Engineeringproject_l

Description: VHDL的步進馬達驅動程式碼,有點複雜,請見諒-VHDL stepper motor driver code is a bit complicated, please forgive me.
Platform: | Size: 294912 | Author: jj | Hits:

[VHDL-FPGA-Verilogmotor

Description: 步进电机的fpgaVHDL控制程序,代码很详细,已经调试通过。-Fpga VHDL of stepper motor control procedures, the code is very detailed, have been debug through.
Platform: | Size: 1024 | Author: 刘中汉 | Hits:
« 12 »

CodeBus www.codebus.net