Welcome![Sign In][Sign Up]
Location:
Search - spi circuit

Search list

[Embeded-SCM Develop实用倍频电路

Description: fpga实用倍频电路-Frequency Circuit
Platform: | Size: 24576 | Author: 王中正 | Hits:

[ARM-PowerPC-ColdFire-MIPSISD语音芯片录音电路

Description: ISD公司的语音芯片录音电路。语音录放必看资料。-ISD's voice recording circuit chip. Watchable voice recorders information.
Platform: | Size: 183296 | Author: 闪核 | Hits:

[Otherspi_op_core

Description: spi接口电路用verilog编程,完全可综合的-20 interface circuit using Verilog programming, fully integrated
Platform: | Size: 81920 | Author: 晓滑 | Hits:

[OtherTLC2543profile

Description: TLC2543的中文简介,附有汇编操作,TLC2543是德州仪器公司生产的12位开关电容型逐次逼近模数转换器,它具有三个控制输入端,采用简单的3线SPI串行接口可方便地与微机进行连接,是12位数据采集系统的最佳选择器件之一。本文介绍了该芯片的功能、时序,并给出了8051单片机的接口电路。-TLC2543 briefed the Chinese, with the compilation operation, TLC2543 is by TI Switched-Capacitor 12-successive approximation ADC. it has three control input, a simple three-wire SPI serial interface can be easily connected with the computer, yes 12 Data Acquisition System devices to choose the best one. This paper introduces the chip functional, timing, and gives the 8051 interface circuit.
Platform: | Size: 30720 | Author: 杨柳 | Hits:

[SCMPIC16F87SPI

Description: PIC16F87但单片机SPI口得使用方法,讲述了原理,接口,电路等-PIC16F87 microcontroller SPI use in the mouth, on the principle, interface circuit, etc.
Platform: | Size: 83968 | Author: Iceant | Hits:

[File FormatSPIIIC

Description: 主要讲述了SPI接口的实现方法,主要的接口电路,时钟等-mainly on the SPI interface method, the main interface circuit, the clock, etc.
Platform: | Size: 105472 | Author: Iceant | Hits:

[Otherad73360_2407

Description: 此文件表明了ad73360与tms320lf2407的接口,由于ad73360时支持同步串行口的,而2407没有同步串行口,此电路通过硬件译码转换时spi口和同步串行口在高速下的得以通讯,希望对各位同仁有所帮助-this paper, and the ad73360 tms320lf2407 interface As ad73360 to support synchronous serial port, and 2407 is no synchronous serial port. This circuit through hardware decoding conversion spi mouth and synchronous serial interface in high-speed communications to the next. hope to be helpful to colleagues
Platform: | Size: 24576 | Author: li xiaoyan | Hits:

[SCMOReilly.Designing.Embedded.Hardware.May.2005.eBook

Description: John Catsoulis编写的Designing Embedded Hardware 。介绍了汇编语言,SPI,I2C,串口,usb,网口等硬件电路设计。模拟电路设计,AVR单片机原理-John Catsoulis prepared Designing Embedded Hardware. Introduction of assembly language, SPI, I2C, serial, usb, network hardware such as circuit design of the mouth. Analog circuit design, AVR Singlechip Principle
Platform: | Size: 4277248 | Author: 汤林 | Hits:

[source in ebook89c52_spi

Description: 实用电路,89c52摸拟SPI输出.但速度不快.-Practical circuit, 89c52 simulation SPI output. But slow.
Platform: | Size: 27648 | Author: 陈耀明 | Hits:

[SCM2150+PIC12C67X

Description: 用PIC单片机模拟SPI功能与CAN控制器实现CAN通信的的一种方案。里面有实际电路和源程序。-PIC simulation using single-chip CAN controller with SPI functions realize a CAN communications program. Inside the circuit and have the actual source.
Platform: | Size: 229376 | Author: Jason | Hits:

[Other Embeded programSPI

Description: LPC2124 SPI接口控制LED数码管显示0-F字符,同时控制4个LED显示对应的十六进制程序,包括PROTEUS仿真电路。-LPC2124 SPI interface to control LED digital tube display 0-F characters, simultaneous control of four LED display the corresponding hexadecimal procedures, including PROTEUS simulation circuit.
Platform: | Size: 254976 | Author: 龚剑 | Hits:

[Embeded-SCM DevelopSPI_LED

Description: SPI接口实险,LED数据管显示 1、程序通过SPI接口输出数据到HC595芯片驱动LED数据管简单显示。 2、内部1 M晶振,程序采用单任务方式,软件延时。 3、进行此实验请插上JP1的所有8个短路块,JP6(SPI_EN)短路块。-SPI interface is dangerous, LED data display 1, the program output data through the SPI interface to the HC595 chip data-driven pipe simple LED display. 2, internal 1 M crystal, procedures using single task mode, the software latency. 3, to conduct this experiment JP1 plug in all the eight short-circuit block, JP6 (SPI_EN) short-circuit block.
Platform: | Size: 10240 | Author: 浮草 | Hits:

[SCM5anjiankongzhi+SDka+MP3chengxu+MP3dianlutu

Description: 5个按键控制+SD卡+MP3程序+MP3电路图-5 button control+ SD card+ MP3 procedures+ MP3 circuit diagram
Platform: | Size: 13312 | Author: colinro | Hits:

[Embeded Linuxusi

Description: 适用于winbond w90n745 cpu的usi测试程序,硬件电路实际与usi/spi接口的flash连接-Applicable to usi the winbond w90n745 cpu test procedures, hardware and the actual circuit usi/spi interface flash connection
Platform: | Size: 3072 | Author: sx | Hits:

[Other Embeded programIR

Description: 详细的红外测温模块的电路原理图,以及驱动程序源代码。-Infrared temperature measurement module detailed schematic diagram of the circuit, as well as the driver source code.
Platform: | Size: 1050624 | Author: 孙江波 | Hits:

[Program docBluetooth_SPI_sch2

Description: 蓝牙bluetooth spi 下载线电路图原理图-Bluetooth bluetooth spi schematic circuit diagram download cable
Platform: | Size: 31744 | Author: hebing | Hits:

[MiddleWareAVR_SPI_ADC

Description: AVR单片机SPI的串行ADC接口的设计 SPI(SerialPeripheralInterface---串行外设接口)总线系统是一种同步串行外设接口,允许MCU与各种外围设备以串行方式进行通信、数据交换,广泛应用于各种工业控制领域。 MAX187用采样/保持电路和逐位比较寄存器将输入的模拟信号转换为12位的数字信号,其采样/保持电路不需要外接电容。MAX187有2种操作模式:正常模式和休眠模式,将置为低电平进入休眠模式,这时的电流消耗降到10μA以下。置为高电平或悬空进入正常操作模式。 -AVR single-chip SPI serial ADC interface design SPI (SerialPeripheralInterface--- Serial Peripheral Interface) bus system is a synchronous serial peripheral interface that allows MCU with a variety of peripheral devices to the serial approach to communications , data exchange, widely used in various industrial control. MAX187 with sample/hold circuit and the case-by-bit compare register will be entered into the analog signal is converted to 12-bit digital signal, the sample/hold circuit does not require an external capacitor. MAX187 has two kinds of operating modes: normal mode and sleep mode, will be home to enter the sleep mode for low-level, when the current consumption down to 10μA. Or vacant home for high into the normal operating mode.
Platform: | Size: 67584 | Author: 张同祥 | Hits:

[SCMISD1730

Description: 利用SISD1730语音芯片T实现了语音的分段录取、组合回放,通过STC80C52单片机控制ISD1730语音芯片SPI模式来读出测量显示的温度值-SISD1730 voice using a voice chip of the T sub-taking, combined playback through STC80C52 voice chip ISD1730 single-chip SPI control mode to read out the temperature measurements show that
Platform: | Size: 4096 | Author: 阿浩 | Hits:

[Communicationspi

Description: 12位AD-MAX1241与SPI串口-MAX7219联合仿真 proteus版本:7.2 sp0 如果打不开DSN文件可以找升级包升到这个版本,或者按我下面贴的电路自己连好,max1241,max7219 Proteus 7.0以上版本的库里应该有的!本程序是网上找的,感谢那位网友,我将它共享了,希望大家下载学习;-12-bit AD-MAX1241-MAX7219 and the SPI serial version of the Joint Simulation proteus: 7.2 sp0 If you can not open file DSN find updates to rise to this version, or as I posted the following circuit with their own good, max1241, max7219 Proteus 7.0 or later The library should have! This procedure is find online, for friends who I shared it, I hope you download the study
Platform: | Size: 59392 | Author: wuguangzhou | Hits:

[SCMSPI

Description: Bluetooth SPI 电路设计. 由LPT接口连接至蓝牙SPI接口。-bluetoothe SPI circuit. Defined the connection between LTP port to SPI port for CSR Bluecore.
Platform: | Size: 33792 | Author: glen | Hits:
« 12 3 4 5 6 »

CodeBus www.codebus.net