Welcome![Sign In][Sign Up]
Location:
Search - sine generator verilog

Search list

[VHDL-FPGA-Verilog8bitsine

Description: 8bit采样sine波形发生器,一共两个文件,各自用VHDL和VERILOG编写,通信开发平台专用-8bit sampling sine wave generator, a total of two papers, each with VHDL and VERILOG preparation, communications development platform dedicated
Platform: | Size: 5120 | Author: 王刚 | Hits:

[VHDL-FPGA-Verilogsinewave

Description: 6通道正弦波发生器,产生频率,相位,幅值都可调的正弦波形-6-channel sine wave generator, resulting in frequency, phase, amplitude of the sinusoidal waveform are adjustable
Platform: | Size: 1024 | Author: 桑武斌 | Hits:

[SCMFPGA--DDS-PhaseMeasure

Description: Verilog实现的DDS正弦信号发生器和测频测相模块,DDS模块可产生两路频率和相位差均可预置调整的值正弦波,频率范围为20Hz-5MHz,相位范围为0°-359°,测量的数据通过引脚传输给单片机,单片机进行计算和显示。-Verilog realize the DDS sine wave signal generator and frequency measurement module test phase, DDS module can generate both frequency and phase difference can be preset to adjust the value of sine wave, frequency range of 20Hz-5MHz, phase range of 0 °-359 ° , measurement data and transmit them to the single-chip pin, single-chip microcomputer to calculate and display.
Platform: | Size: 1371136 | Author: haoren | Hits:

[VHDL-FPGA-VerilogAD9851

Description: 用VHDL语言编写的DDS正弦函数发生器-Using VHDL language DDS sine function generator
Platform: | Size: 500736 | Author: cfsword | Hits:

[assembly languagesine

Description: 用verilog语言编的正弦波发生器,可以用QuartusII来打开这个源码,也可以转换成VHDL语言-Verilog language prepared by the sine wave generator can be used QuartusII to open the source code can also be converted into VHDL language
Platform: | Size: 104448 | Author: 雨孩 | Hits:

[VHDL-FPGA-Verilogsingle

Description: verilog 我自己写得按单脉冲发生器,通过了综合和仿真,和频率可变的正弦波发生器,-verilog I write by a single pulse generator, through the synthesis and simulation, and variable frequency sine wave generator,
Platform: | Size: 1024 | Author: 潘见 | Hits:

[OtherDDS

Description: 基于DDS技术的函数波形发生器设计,适合用fpga设计波形发生器用-Based on DDS technology function waveform generator design, suitable for FPGA design with Waveform Generator
Platform: | Size: 1682432 | Author: | Hits:

[SCMsignal

Description: verilog写的串口控制信号发生器,能通过用串口控制产生正弦波方波等信号-written in verilog serial control signal generator, can be generated using serial control, such as sine wave square wave signals
Platform: | Size: 5519360 | Author: ray | Hits:

[VHDL-FPGA-VerilogsWave

Description: 正弦波,Verilog波形发生器,很好的东西-Sine wave, Verilog waveform generator, a good thing
Platform: | Size: 1391616 | Author: yanppf | Hits:

[VHDL-FPGA-VerilogEPM240_SCH_and_program

Description: EPM240 cpld 原理图+程序。 Verilog HDL语言。 程序有正弦波发生器,ADC0804直流采样和显示,汉字滚动,交通灯,键盘,显示程序,计数器等等。-Schematic diagram+ EPM240 cpld procedures. Sine wave generator procedures, ADC0804 DC sampling and showed that Chinese scroll, traffic lights, keyboard, display program, counters and so on.
Platform: | Size: 660480 | Author: student88 | Hits:

[VHDL-FPGA-Verilogvhld_fpga_box

Description: Verilog 编写的波形发生器,可发生正弦波,三角波,方波,可以调频-Prepared Verilog waveform generator, can occur sine, triangle wave, square wave, you can FM
Platform: | Size: 267264 | Author: ivan | Hits:

[VHDL-FPGA-Veriloglearn_dds

Description: 基于quartus ii 9.0的简易dds波形发生器,可以产生正弦,方波,三角波,可变幅,可变频。非常适合学习使用,使用时请按自己的芯片和引脚设置-Quartus ii 9.0 Based on dds simple waveform generator can produce sine, square, triangle wave can be amplitude, frequency can be. Very suitable for learning to use, when used by their chip and pin set
Platform: | Size: 732160 | Author: 陈东旭 | Hits:

[VHDL-FPGA-VerilogFINALWORK

Description: 简易信号发生器 可产生正弦波、方波、三角波、锯齿波 周期可调 verilog-Simple signal generator can produce sine, square, triangle wave, sawtooth-cycle adjustable verilog
Platform: | Size: 1024 | Author: tank tan | Hits:

[VHDL-FPGA-VerilogVerilog

Description: :Verilog实现的DDS正弦信号发生器和测频测相模块-: Verilog implementation of the DDS sine signal generator and frequency measurement module test phase
Platform: | Size: 1371136 | Author: GAOMINGLIANG | Hits:

[VHDL-FPGA-Verilogwaveform

Description: Verilog HDL数字系统设计项目,频率可调的任意波形发生器,可以输出正弦波、方波、三角波和反三角四种波形-Verilog HDL digital system design projects, adjustable frequency arbitrary waveform generator can output sine wave, square wave, triangle wave and the anti-triangular four waveform
Platform: | Size: 2274304 | Author: saln | Hits:

[VHDL-FPGA-VerilogVerilog-hdlFPGA

Description: 关于FPGA的提高篇,Verilog HDL语言写的, 包含LCD控制VHDL程序与仿真,AD/DA,MASK,FSK,PSK,正弦波发生器,等等经典程序-Articles on improving the FPGA, Verilog HDL language, and includes LCD control procedures and VHDL simulation, AD/DA, MASK, FSK, PSK, sine wave generator, and so the classic procedure
Platform: | Size: 1181696 | Author: chenfeihu | Hits:

[VHDL-FPGA-Verilogverilog_sine-wave-generator

Description: verilog语言书写的基于DDS相频累加器的正弦波发生器-verilog language of the sine wave generator
Platform: | Size: 13312 | Author: 任健铭 | Hits:

[VHDL-FPGA-Verilogsine

Description: 简易的正弦信号发生器,用verilog代码写成-A simple sinusoidal signal generator, written with verilog code
Platform: | Size: 1024 | Author: 王呈威 | Hits:

[VHDL-FPGA-Verilogsin_generate

Description: verilog 实现 dds正弦 函数信号发生器 verilog 实现 dds正弦 函数信号发生器-verilog achieve dds sine function signal generator verilog verilog dds sine function signal generator the dds sine function signal generator
Platform: | Size: 425984 | Author: 陈占田 | Hits:

[VHDL-FPGA-VerilogSIN_GNT

Description: LPM_ROM定制。简单的正弦波发生器。 Verilog HDL语言设计。 EP4CE15F17C18N实测可用。-LPM_ROM customization. Simple sine wave generator. Verilog HDL designs. EP4CE15F17C18N measurement available.
Platform: | Size: 8589312 | Author: Moira | Hits:
« 12 »

CodeBus www.codebus.net