Welcome![Sign In][Sign Up]
Location:
Search - sd ip

Search list

[Other resource430_source_code

Description: 5个430系列微控制器源代码,开发环境:IAR embeded system,希望对大家有所帮助: 430 and PCF8576是430与PCF8576接口源代码; 430 and SD是430与sd卡接口源代码; 430com是430与pc通讯源代码; 430tcp_ip是430tcp-ip通讯源代码; 430usb是430与usb通讯源代码; 430OLED是430与oled屏通讯源代码; 谢谢!-five 430 series microcontroller source code and development environment : IAR embeded system, We hope to help : 430 and 430 is PCF8576 PCF8576 interface with the source code; 430 and SD 430 is sd card interface with the source code; 430com is 430 pc communications with the source code; 430tcp_ip is 430tcp - ip communications source code; 430usb is 430 usb communications with the source code; 430OLED is 430 oled screen communications with the source code; Thank you!
Platform: | Size: 610784 | Author: 郭刚 | Hits:

[Embeded-SCM Developsd_audio_aic23

Description: SD卡和AIC23数字音频输出实验, FreeDev Audio Dsp Board采用了TI公司的TVL320AIC23 1、控制接口使用I2C,Quartus中将CS置低(器件地址0011010)。 2、数字音频接口使用了组件FreeDev_aic23,有三种测试和应用 模式,中断结合DMA方式能在NIOS II中采集和发送数据。中断信号 产生于模块中FIFO缓冲区的半满信号,读取数据端口自动清除中断 请求信号。 3、I2C IP 和FreeDev_aic23 IP分别在Quartus 工程目录中 4、SD卡读写通过SD_DAT0、SD_CLK、SD_CMD三个PIO信号线用软件 控制时序。 5、该范例读SD卡数据,通过DMA将Buffer数据送到FreeDev_aic23的 FIFO中实现数据播放。 6、SD卡中的数据必须是以48K*16bit保存的采样数据。数据可以通过SD读卡器写入。
Platform: | Size: 13500 | Author: HuFengzhang | Hits:

[Otherarm嵌入式应用笔记lpc2300

Description: 是周立功的书,里面有以太网,U盘,USB设备驱动,SD/MMC开发,tcp/ip,是一本很有用的书哦,网上很难下到,是周立功内部的书,本人不敢独享,贡献出来大家共同进步哦
Platform: | Size: 52265921 | Author: yichenlin123@yahoo.com | Hits:

[VC/MFCppt_for_stu

Description: TCP/IP协议及网络编程技术,提供给对C++语言有效大理解,希望能自己动手开发网络程序的朋友。-TCP/IP protocol and network programming technology available to the C language effectively great understanding, hope to make their own development network programming friends.
Platform: | Size: 261120 | Author: 李新 | Hits:

[SCM430_source_code

Description: 5个430系列微控制器源代码,开发环境:IAR embeded system,希望对大家有所帮助: 430 and PCF8576是430与PCF8576接口源代码; 430 and SD是430与sd卡接口源代码; 430com是430与pc通讯源代码; 430tcp_ip是430tcp-ip通讯源代码; 430usb是430与usb通讯源代码; 430OLED是430与oled屏通讯源代码; 谢谢!-five 430 series microcontroller source code and development environment : IAR embeded system, We hope to help : 430 and 430 is PCF8576 PCF8576 interface with the source code; 430 and SD 430 is sd card interface with the source code; 430com is 430 pc communications with the source code; 430tcp_ip is 430tcp- ip communications source code; 430usb is 430 usb communications with the source code; 430OLED is 430 oled screen communications with the source code; Thank you!
Platform: | Size: 610304 | Author: 郭刚 | Hits:

[Embeded-SCM Developsd_audio_aic23

Description: SD卡和AIC23数字音频输出实验, FreeDev Audio Dsp Board采用了TI公司的TVL320AIC23 1、控制接口使用I2C,Quartus中将CS置低(器件地址0011010)。 2、数字音频接口使用了组件FreeDev_aic23,有三种测试和应用 模式,中断结合DMA方式能在NIOS II中采集和发送数据。中断信号 产生于模块中FIFO缓冲区的半满信号,读取数据端口自动清除中断 请求信号。 3、I2C IP 和FreeDev_aic23 IP分别在Quartus 工程目录中 4、SD卡读写通过SD_DAT0、SD_CLK、SD_CMD三个PIO信号线用软件 控制时序。 5、该范例读SD卡数据,通过DMA将Buffer数据送到FreeDev_aic23的 FIFO中实现数据播放。 6、SD卡中的数据必须是以48K*16bit保存的采样数据。数据可以通过SD读卡器写入。
Platform: | Size: 13312 | Author: HuFengzhang | Hits:

[Other Embeded programCycloneII_Touch_Panel_MP3_Player

Description: 在SOPC平台上,开发得MP3源代码,包括mp3软解码,图形界面!还包括了TFT,PWM的IP!-In SOPC platform, the development of a MP3 source code, including mp3 soft decoding, graphical interface! Also includes a TFT, PWM s IP!
Platform: | Size: 1692672 | Author: lrt | Hits:

[VHDL-FPGA-VerilogSPI

Description: SPI经典ip核 可以直接用于工程的开发和利用-err
Platform: | Size: 49152 | Author: 毋杰 | Hits:

[Internet-NetworkCool_manager

Description: 一个很久以前自己vb写的远程控制 Cool manager alljsj.ColumnHeaders.Add 1, "jsjb", "编号" alljsj.ColumnHeaders.Add 2, "jsjn", "计算机名" alljsj.ColumnHeaders.Add 3, "jsjip", "IP地址" alljsj.ColumnHeaders.Add 4, "jsju", "用户名" alljsj.ColumnHeaders.Add 5, "jsjp", "密码" alljsj.ColumnHeaders.Add 6, "jsjbz", "备注" Dim item1 As ListItem Set item1 = alljsj.ListItems.Add(, "jsj", "1", , 5) item1.SubItems(1) = "1" item1.SubItems(1) = Ws(0).LocalHostName item1.SubItems(2) = Ws(0).LocalIP item1.SubItems(3) = "cool" item1.SubItems(4) = "cm" pslst.ColumnHeaders.Add , "jcm", "进程名" pslst.ColumnHeaders.Add , "jpid", "PID" End Sub Private Sub alljsj_DblClick() MsgBox alljsj.SelectedItem.SubItems(2) Reip = alljsj.SelectedItem.SubItems(2) sd.Close sd.RemoteHost = Reip sd.RemotePort = 313 sd.Connect sb.Panels(1).Text = "正在连接" & Reip & "..." End Sub-Vb a long time ago to write their own remote control Cool manager alljsj.ColumnHeaders.Add 1, jsjb , No. alljsj.ColumnHeaders.Add 2, jsjn , computer name alljsj.ColumnHeaders.Add 3, jsjip , IP Address alljsj.ColumnHeaders.Add 4, jsju , username alljsj.ColumnHeaders.Add 5, jsjp , password alljsj.ColumnHeaders.Add 6, jsjbz , Remarks Dim item1 As ListItemSet item1 = alljsj.ListItems.Add (, jsj , 1 ,, 5) item1.SubItems (1) = 1 item1.SubItems (1) = Ws (0). LocalHostNameitem1.SubItems (2) = Ws (0). LocalIPitem1.SubItems (3) = cool item1.SubItems (4) = cm pslst.ColumnHeaders.Add, jcm , process name pslst.ColumnHeaders.Add, jpid , PID End SubPrivate Sub alljsj_DblClick () MsgBox alljsj.SelectedItem.SubItems (2) Reip = alljsj.SelectedItem.SubItems (2) sd.Closesd.RemoteHost = Reipsd.RemotePort = 313sd.Connectsb.Panels (1). Text = Connecting
Platform: | Size: 983040 | Author: gongxd | Hits:

[VHDL-FPGA-VerilogSDCard_Controller

Description: SD卡控制器IP. 兼容SD卡协议2.0。与wishbone bus 接口,方便与其他IP连接使用。 -SD Card Controller IP. Compatible with SD Card Agreement 2.0. With the wishbone bus interface to facilitate the use of other IP connections.
Platform: | Size: 24576 | Author: xiafei | Hits:

[VHDL-FPGA-Verilogspimaster

Description: SPI IP core supporting SD/MMC
Platform: | Size: 2269184 | Author: zhanglh | Hits:

[VHDL-FPGA-Verilogaltera_up_avalon_sd_card_interface

Description: 基于VHDL的SD卡IP核,Altera公司推出的大学计划!最新版本9.0-VHDL-based IP core of the SD card, Altera' s university program launched! The latest version 9.0
Platform: | Size: 264192 | Author: 兔子 | Hits:

[Other Embeded programDE2_SDCARD

Description: 在de2上用niosii实现fat文件系统源代码,可以实现文件的读写操作,介质为sd卡。-In the de2 on the file system using niosii to achieve fat source code, the file read and write operations can be achieved, the medium for the sd card.
Platform: | Size: 3747840 | Author: 彭天 | Hits:

[Software Engineeringde2_sd

Description: 大学计划里面的sd卡ip核,非常有用。在de2板子上可以非常方便使用-University plans to sd card inside ip core, very useful. In the de2 board can be very easy to use
Platform: | Size: 1620992 | Author: zzx | Hits:

[VHDL-FPGA-Verilogsd_slave_device

Description: verilog source code for SD card SLAVE DEVICE IP-Core
Platform: | Size: 15360 | Author: Antti Lukats | Hits:

[VHDL-FPGA-Verilogsdcard_mass_storage_controller_latest.tar

Description: 基于wishbone总线的SD Card IP Core,有Verilog和VHDL两种语言版本,包含了FIFO和DMA两种实现方式,是开源的IP Core-Based on the wishbone bus SD Card IP Core, there are two language versions of Verilog and VHDL, including the FIFO and DMA implemented in two ways, is open source IP Core
Platform: | Size: 2271232 | Author: 张亚群 | Hits:

[Windows Developsopdj

Description: 手机设方案 可以通过IP地址和地图关联起来进行跟踪-dldldlsd sd dsd
Platform: | Size: 178176 | Author: jianli | Hits:

[VHDL-FPGA-Verilogaltera_up_sd_card_avalon_interface

Description: altera公司面向大学的大学计划中sd的ip核源程序,-altera company plan for universities in the ip sd_card nuclear source,
Platform: | Size: 12288 | Author: 陈小林 | Hits:

[SCMsd_card

Description: 面向altera公司的大学计划sd-card ip核,检测sd卡是否插入卡槽中。-Altera company s University Program for sd-card ip core, testing sd card is inserted into the card slot
Platform: | Size: 1643520 | Author: 陈小林 | Hits:

[VHDL-FPGA-VerilogXilinx

Description: 2020 XILINX Vivado ISE IP License最全最可靠License获取方式。 LDPC, CPRI, Turbo, Polar, JESD204B/C HDMI1.4/2.0, MIPI CSI-2, MIPI DSI AXI CAN AXI USB2.0 SD Card Host Reed-Solomon Decoder/Encoder 10G Enthernet MAC 25G Enthernet MAC 40G Enthernet MAC 50G Enthernet MAC 100G Enthernet MAC RS Encoder/Decoder Display Port/ DP Video Test Pattern Generator RapidIO tri mode ethernet mac(LDPC, CPRI, Turbo, Polar, JESD204B/C HDMI1.4/2.0, MIPI CSI-2, MIPI DSI AXI CAN AXI USB2.0 SD Card Host Reed-Solomon Decoder/Encoder 10G Enthernet MAC 25G Enthernet MAC 40G Enthernet MAC 50G Enthernet MAC 100G Enthernet MAC RS Encoder/Decoder Display Port/ DP Video Test Pattern Generator RapidIO tri mode ethernet mac)
Platform: | Size: 1024 | Author: liyan2020 | Hits:
« 12 »

CodeBus www.codebus.net