Welcome![Sign In][Sign Up]
Location:
Search - sawtooth vhdl

Search list

[Other resourcewave_genarator_vhdl

Description: vhdl波形发生程序.实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 各种波形的线形叠加输出。 -vhdl waveform occurred procedures. 4 achieve common sinusoidal waveform, 1.30, sawtooth, square-wave (A, B) the frequency and amplitude control output (square A duty cycle is also controllable), can store data of arbitrary waveform characteristics and able to reproduce the waveform, but also through a variety of linear superposition of the waveform output.
Platform: | Size: 10310 | Author: 江汉 | Hits:

[VHDL-FPGA-Verilogwave_genarator_vhdl

Description: vhdl波形发生程序.实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 各种波形的线形叠加输出。 -vhdl waveform occurred procedures. 4 achieve common sinusoidal waveform, 1.30, sawtooth, square-wave (A, B) the frequency and amplitude control output (square A duty cycle is also controllable), can store data of arbitrary waveform characteristics and able to reproduce the waveform, but also through a variety of linear superposition of the waveform output.
Platform: | Size: 10240 | Author: 江汉 | Hits:

[VHDL-FPGA-VerilogDDS_generator

Description: DDS锯齿波发生器: 开发平台:maxplus+FPGA 功能: 输出X路扫屏锯齿波。频率可用键盘精确控制,设置多个挡位;可水平移动波形;-DDS sawtooth generator : Development Platform : maxplus+ FPGA functions : So output X Lu Ping Sawtooth. Keyboard can be used precision frequency control, multiple gear; Mobile waveform can level;
Platform: | Size: 852992 | Author: shiyj | Hits:

[VHDL-FPGA-Verilogbox

Description: 用vhdl语言编写的基于fpga的波形发生器,使用了quartusII程序。可以在1602液晶显示器上显示目前的波形种类。产生的波形分别是正弦波,三角波,锯齿波和方波。-VHDL language using FPGA-based waveform generator, using the procedures quartusII. 1602 liquid crystal display can show the current waveform types. Generated waveforms are sine wave, triangle wave, sawtooth and square wave.
Platform: | Size: 1708032 | Author: zhg | Hits:

[VHDL-FPGA-Verilogdds_bate4[1].1

Description: 在quartus软件下用VHDL语言实现DDS,可产生正弦,余弦,方波,三角波以及锯齿波。-In the Quartus software using VHDL language realize DDS, can generate sine, cosine, square, triangle and sawtooth waves.
Platform: | Size: 3014656 | Author: 崔浩然 | Hits:

[VHDL-FPGA-VerilogDAC0832VHDL

Description: DAC0832 接口电路程序.功能:产生频率为762.9Hz的锯齿波DAC0832VHDL程序与仿真-DAC0832 procedures interface circuit. Functions: generate the sawtooth frequency of 762.9Hz and simulation procedures DAC0832VHDL
Platform: | Size: 3072 | Author: 黄上 | Hits:

[VHDL-FPGA-VerilogVHDL

Description: 实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 各种波形的线形叠加输出。 -Realize four kinds of common sine wave, triangle, sawtooth, square wave (A, B) the frequency, magnitude controllable output (square wave A, is also a controllable duty cycle), can store arbitrary waveform feature data and can reproduce the waveform, but also completed a variety of linear superposition of the output waveform.
Platform: | Size: 9216 | Author: zhanyi | Hits:

[VHDL-FPGA-VerilogjiyuVHDLyuyandehanshuxinghaofashengqi

Description: 好用的函数信号发生器,能产生多种波形,例如,正弦波,方波,锯齿波,阶梯波。-Useful function signal generator, can produce a variety of waveforms, for example, sine wave, square wave, sawtooth, wave ladder.
Platform: | Size: 1024 | Author: sdfs | Hits:

[Graph Drawingwave

Description: 本程序采用的VHDL语言,分别实现:递增锯齿波递减锯齿波 三角波 阶梯波 方波正弦波 数据选择器.-This procedure used in VHDL language, respectively, to achieve: increased sawtooth ladder descending sawtooth wave square wave triangle wave sine wave data selector.
Platform: | Size: 1024 | Author: 程冬水 | Hits:

[SCMxinhao001

Description: 产生正弦波、方波、锯齿波,频率可调,方波占空比可调-Generated sine wave, square wave, sawtooth wave, frequency adjustable, adjustable duty cycle square wave
Platform: | Size: 2963456 | Author: wangyang | Hits:

[VHDL-FPGA-Verilogddfs

Description: 基本FPGA的DDS信号发生器,可产生1-1MHZ任意频率的三角波,方波,锯齿波,正弦波-Basic FPGA-DDS signal generator, can produce 1-1MHZ arbitrary frequency triangle wave, square wave, sawtooth, sine wave
Platform: | Size: 1373184 | Author: 吴宏伟 | Hits:

[VHDL-FPGA-Verilogwavegenerator

Description: 开发环境为QuartusII,能产生正弦波、三角波、方波和锯齿波,幅度为5V,采样为8位,在开发板已经验证通过,有详细的波形图和管脚分配图。-Development environment for QuartusII, can generate sine wave, triangle wave, square wave and sawtooth wave, ranging from 5V, sampling for 8, in the development board has to verify is passed, the waveform in detail the distribution of maps and map pins.
Platform: | Size: 498688 | Author: 李海明 | Hits:

[VHDL-FPGA-VerilogMulti_function_waveform_generator

Description: 多功能波形发生器VHDL程序与仿真.实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成各种波形的线形叠加输出。 -Multi-function waveform generator and simulation of VHDL procedures. The realization of four kinds of common sine wave, triangle, sawtooth, square wave (A, B) the frequency and magnitude of control output (square wave of duty cycle A is controllable), Arbitrary Waveform characteristics can store data and can reproduce the waveform, but also the completion of the linear superposition of a variety of output waveforms.
Platform: | Size: 10240 | Author: | Hits:

[VHDL-FPGA-VerilogDAC0832

Description: DAC0832 接口电路程序,产生频率为762.9Hz的锯齿波-DAC0832 interface circuit process, resulting in the sawtooth frequency of 762.9Hz
Platform: | Size: 3072 | Author: 葛棋棋 | Hits:

[VHDL-FPGA-Verilogwaveform-generator-o-VHDL-program

Description: 实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 --A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 --各种波形的线形叠加输出。 -Achieve the four kinds of common sine wave, triangle, sawtooth, square wave (A, B) the frequency and amplitude controlled output (square wave- A duty cycle is controlled), can store arbitrary waveform feature data and can to reproduce the waveform, it can perform- all kinds of linear superposition of the output waveform.
Platform: | Size: 10240 | Author: 刘新 | Hits:

[VHDL-FPGA-VerilogFINALWORK

Description: 简易信号发生器 可产生正弦波、方波、三角波、锯齿波 周期可调 verilog-Simple signal generator can produce sine, square, triangle wave, sawtooth-cycle adjustable verilog
Platform: | Size: 1024 | Author: tank tan | Hits:

[VHDL-FPGA-Verilogvhdl

Description: 用vhdl实现的抢答器程序。正弦波,锯齿波,三角波发生器程序。基于pwm技术的数码流水灯程序。计数器程序。-Responder with vhdl implementation process. Sine wave, sawtooth wave, triangle wave generator program. Pwm technology based on digital light process flow. Counter program.
Platform: | Size: 6144 | Author: 杨雨 | Hits:

[VHDL-FPGA-Verilogpwm

Description: 此程序可用于产生正弦波、三角波、锯齿波、方波并仿真通过,采用LPM_rom-This program can be used to generate sine wave, triangle wave, sawtooth wave, square wave and the simulation by using LPM_rom
Platform: | Size: 1452032 | Author: 夜雨 | Hits:

[VHDL-FPGA-VerilogVHDL-Waveform-source

Description: I/V转换波形输出,可生成三角波、锯齿波、方波、和正弦波等常用波形。-I/V converter output waveforms can be generated triangle wave, sawtooth wave, square wave, and other commonly used sine wave.
Platform: | Size: 2048 | Author: obu | Hits:

[VHDL-FPGA-VerilogSignal-Generator-VHDL-design

Description: 信号发生器VHDL设计 波形可选:正弦(sine),方波(sqr),锯齿波(jc_de和jc_in两种),三角波(sanj)和阶梯波(stair)信号模块-Optional waveform signal generator VHDL design: sinusoidal (sine), square wave (sqr), sawtooth (jc_de and jc_in two kinds), triangle wave (sanj) and staircase (stair) signal modules
Platform: | Size: 758784 | Author: | Hits:
« 12 »

CodeBus www.codebus.net