Welcome![Sign In][Sign Up]
Location:
Search - sawtooth

Search list

[VHDL-FPGA-VerilogDDS_generator

Description: DDS锯齿波发生器: 开发平台:maxplus+FPGA 功能: 输出X路扫屏锯齿波。频率可用键盘精确控制,设置多个挡位;可水平移动波形;-DDS sawtooth generator : Development Platform : maxplus+ FPGA functions : So output X Lu Ping Sawtooth. Keyboard can be used precision frequency control, multiple gear; Mobile waveform can level;
Platform: | Size: 852992 | Author: shiyj | Hits:

[SCMDAC0832

Description: 信号发生器,,可以产生三角波,方波,锯齿波,用51单片机来控制实现-Signal generator, can generate the triangular wave, square wave, sawtooth wave, with 51 single-chip microcomputer to control the realization of
Platform: | Size: 277504 | Author: 葛永强 | Hits:

[VHDL-FPGA-VerilogVHDL

Description: 实现4种常见波形正弦、三角、锯齿、方波(A、B)的频率、幅度可控输出(方波 A的占空比也是可控的),可以存储任意波形特征数据并能重现该波形,还可完成 各种波形的线形叠加输出。 -Realize four kinds of common sine wave, triangle, sawtooth, square wave (A, B) the frequency, magnitude controllable output (square wave A, is also a controllable duty cycle), can store arbitrary waveform feature data and can reproduce the waveform, but also completed a variety of linear superposition of the output waveform.
Platform: | Size: 9216 | Author: zhanyi | Hits:

[Windows DevelopSawtooth

Description: 利用dac0832芯片 在汇编语言环境下输出锯齿波波形-Dac0832 chip using assembly language environment in the sawtooth waveform output
Platform: | Size: 37888 | Author: chenwei | Hits:

[SCMSawtooth

Description: Program for 8051 in assembly language which produce Sawtooth wave by means of a simple DtoA
Platform: | Size: 271360 | Author: alireza | Hits:

[matlabmatlabVoice

Description: 語音信號是基於時間軸上的一維數位信號,在這裏主要是對語音信號進行頻域上的分析。在信號分析中,頻域往往包含了更多的資訊。對於頻域來說,大概有8種波形可以讓我們分析:矩形方波,鋸齒波,梯形波,臨界阻尼指數脈衝波形,三角波,餘旋波,余旋平方波,高斯波。對於各種波形,我們都可以用一種方法來分析,就是傅立葉變換:將時域的波形轉化到頻域來分析。-Voice signal is based on the timeline of one-dimensional digital signal, where mainly the speech signal in frequency domain analysis. In signal analysis, frequency domain often contains more information. For the frequency domain for about 8 allows us to analyze waveforms: rectangular square wave, sawtooth, trapezoidal wave, the critical damping index pulse, triangle wave, I spin wave, I spin square wave, Gaussian. For all waveforms, we can analyze in a way that is Fourier transform: the transformation of time domain waveform into the frequency domain to analyze
Platform: | Size: 133120 | Author: 林志強 | Hits:

[assembly languagesawtooth

Description: This a test program for the MC1408L8 digital-to analog converter interface to the 6821 PIA. The program creates a sawtooth wave by continually incrementing a count and sending it to the MC1408L8. The constants STEP and COUNT control the frequency and the number of conversions per cycle-This is a test program for the MC1408L8 digital-to analog converter interface to the 6821 PIA. The program creates a sawtooth wave by continually incrementing a count and sending it to the MC1408L8. The constants STEP and COUNT control the frequency and the number of conversions per cycle
Platform: | Size: 1024 | Author: izzeldin | Hits:

[SCMNO.21-sawtooth-with-DAC0832

Description: 《单片机C语言程序设计实训100例——基于8051+Proteus仿真》案例压缩包 第 02 篇 第 02 篇 硬件应用 21 用DAC0832生成锯齿波-" Microcontroller C Programming Language Training 100 cases- based on 8051+ Proteus simulation," Case No. 02 archive 02 hardware applications generate sawtooth 21 with DAC0832
Platform: | Size: 34816 | Author: 王小明 | Hits:

[VHDL-FPGA-Verilogsawtooth-waveform

Description: 在FPGA中产生的频率可调的锯齿波型信号发生器-The frequency of the FPGA to generate the sawtooth waveform signal generator adjustable
Platform: | Size: 670720 | Author: shicunying | Hits:

[assembly languagesawtooth

Description: 通过DMA在示波器上显示锯齿波,在实验室通过实验平台和电脑,示波器完成-DMA on the oscilloscope display by sawtooth
Platform: | Size: 1024 | Author: wang | Hits:

[SCMPCF8591-output-sawtooth

Description: PCF8591 输出锯齿波同,新手可以看看!不错的源码~-PCF8591 output sawtooth wave with the novice can see! A good source ~
Platform: | Size: 26624 | Author: yang | Hits:

[SCMGenerated-sawtooth

Description: 用51单片机控制DAC0832生成锯齿波。利用Proteus和keil软件进行系统的仿真设计。-MCU control with 51 DAC0832 generates a sawtooth waveform. The use of Proteus and keil software for system simulation design.
Platform: | Size: 96256 | Author: 华华强 | Hits:

[SCMSawtooth-wave

Description: 产生锯齿波波形代码,其产生的波形失真较小,精度很高-Sawtooth wave
Platform: | Size: 5120 | Author: 吴晓 | Hits:

[SCMdac0832-Sawtooth

Description: 利用at89c51和adc0832产生锯齿波,具有清零的功能,利用算法实现-At89c51 and adc0832 produce sawtooth, with a clear function, the use of algorithms to achieve
Platform: | Size: 38912 | Author: weiyuzhiqian | Hits:

[matlabSawtooth-wave

Description: 文章介绍了锯齿波的产生,经试验确定了程序的正确性。-The article describes the generation of the sawtooth, testing to determine the correctness of the program.
Platform: | Size: 1024 | Author: 乔一新 | Hits:

[ARM-PowerPC-ColdFire-MIPSsawtooth

Description: 本程序是基于msp430单片机的DA锯齿波发生器-This procedure is based on the the MSP430 microcontroller DA sawtooth generator
Platform: | Size: 2048 | Author: liucheng | Hits:

[SCMsawtooth-generator

Description: 这是一个锯齿波形的发生器程序,可以提供我们需要的锯齿波形,-This is a sawtooth waveform generator program can provide we need a sawtooth waveform,
Platform: | Size: 2048 | Author: 夏经强 | Hits:

[SCMThe-64-PCF8591-output-sawtooth

Description: The 64-PCF8591 output sawtooth单片机输出锯齿波-The 64-PCF8591 output sawtoothMicrocontroller output sawtooth
Platform: | Size: 27648 | Author: chen | Hits:

[OtherDAC8501-51-program---sawtooth

Description: DAC8501的51程序-锯齿波。程序描 述: DAC8501输入锯齿波。单 片 机:STC11F04E ,晶 振: 11.0592MHz,编 译 环 境: Keil 4-DAC8501 51 program ,sawtooth. Program description,DAC8501 input sawtooth. SCM,STC11F04E, Crystal,11.0592MHz, the compiler environment: Keil 4
Platform: | Size: 23552 | Author: 郑志鹏 | Hits:

[SCMDAC0832-generated-sawtooth

Description: DAC0832生成锯齿波 包含KEIL文件和DSN文件-DAC0832 generated sawtooth DSN file that contains the files and KEIL
Platform: | Size: 36864 | Author: world | Hits:
« 12 3 4 5 6 7 8 9 10 ... 30 »

CodeBus www.codebus.net