Welcome![Sign In][Sign Up]
Location:
Search - rs485 verilog

Search list

[Embeded-SCM DevelopRS RS-232 至RS RS-485 RS RS-422 智能转换器

Description: RS RS-232 至RS RS-485 RS RS-422 智能转换器-RS RS-232 to RS RS-485 RS RS-422 Intelligent Converters
Platform: | Size: 159744 | Author: | Hits:

[Embeded-SCM Developfpga_digit_serial_arithmetic_1

Description: fpga/CPLD开发管理Digit-Serial DSP Functions-fpga/CPLD Development and Management of Digit-Serial DSP Functions
Platform: | Size: 2659328 | Author: liuandy | Hits:

[SCMRS485

Description: 用VERILOG语言写的RS485通信程序,经调试可以直接使用-Verilog language used to write the RS485 communication program, the debugger can be used directly
Platform: | Size: 653312 | Author: 李俭 | Hits:

[VHDL-FPGA-VerilogRS485EN

Description: RS485的双向通信处,正在为此头疼的同学们可要注意了,这个可以解决你们双向通信过程中的很多问题哦-Two-way RS485 communications, the headache is to this end they' ll pay attention to the students, this two-way communication you can solve many problems in the course of oh
Platform: | Size: 151552 | Author: 江山 | Hits:

[VHDL-FPGA-Verilogchenyu--chengxu

Description: 利用verilog语言编写的RS232转换到RS485程序,实现总线通信-Verilog language converted to RS485 RS232 bus communication
Platform: | Size: 197632 | Author: 张思文 | Hits:

[VHDL-FPGA-VerilogRS485verilog

Description: 这是用Verilog写的RS485通信程序,可以使用,希望大家能够互相交流,-This is a Verilog writing RS485 communication program, can be used, I hope we can communicate with each other,
Platform: | Size: 654336 | Author: 汪静 | Hits:

[VHDL-FPGA-VerilogRS485

Description: FPGA/CPLD实现RS485通信协议,在Quartus ii平台上进行Verilog编程仿真-FPGA/CPLD realize RS485 communication protocol used to Verilog simulation on Quartus ii programming platform
Platform: | Size: 669696 | Author: cyl | Hits:

[VHDL-FPGA-VerilogRS485

Description: verilog开发FPGA,实现RS485串口通信-RS485 driver for FPGA
Platform: | Size: 17230848 | Author: Jeff_yin | Hits:

[Com PortRS485_Revc

Description: rs485 receive end verilog rtl code
Platform: | Size: 1024 | Author: cui jihui | Hits:

CodeBus www.codebus.net