Welcome![Sign In][Sign Up]
Location:
Search - rcvr

Search list

[Other resourcercvr

Description: 异步串行通信接受单元的设计源程序 异步串行通信接受单元的设计源程序
Platform: | Size: 1376 | Author: 远方 | Hits:

[SCMrcvr

Description: 异步串行通信接受单元的设计源程序 异步串行通信接受单元的设计源程序-Asynchronous serial communication module designed to accept source asynchronous serial communication module designed to accept source code
Platform: | Size: 1024 | Author: 远方 | Hits:

[Com PortUART

Description: 利用Verilog实现一个UART接口,包含三个源文件rcvr.v\txmit.v\uart.v -Verilog realization of the use of a UART interface, the source file contains three rcvr.v \ txmit.v \ uart.v
Platform: | Size: 2048 | Author: speed | Hits:

[matlabwaterf

Description: Waterfall plot matlab function. Plots the waveforms in X(time,rcvr) vs. "time".Each trace is auto scaled so that the peak-to-peak value is at most 1.0 then each trace is offset by 1 unit.-Waterfall plot matlab function. Plots the waveforms in X(time,rcvr) vs. "time".Each trace is auto scaled so that the peak-to-peak value is at most 1.0 then each trace is offset by 1 unit.
Platform: | Size: 1024 | Author: Cagdas | Hits:

[SCM8051f

Description: 80c51的一些代码, 希望对初学者有一定的帮助,此程序一运行。-SCON = 0x50 /* SCON: mode 1, 8-bit UART, enable rcvr */ TMOD |= 0x20 /* TMOD: timer 1, mode 2, 8-bit reload */ TH1 = 221 /* TH1: reload value for 1200 baud @ 16MHz */ TR1 = 1 /* TR1: timer 1 run */ TI = 1 /* TI: set TI to send first char of UART */
Platform: | Size: 1024 | Author: 孙艳玲 | Hits:

[VHDL-FPGA-Verilogrcvr

Description: verilog的串口接收程序,有详细注释,适合学习-verilog serial port to receive the program, there are detailed notes, suitable for learning
Platform: | Size: 1024 | Author: 吕攀攀 | Hits:

CodeBus www.codebus.net