Welcome![Sign In][Sign Up]
Location:
Search - quartus fft

Search list

[VHDL-FPGA-Verilogyouxiufft

Description: 16点的fft程序.非常不错,编译已经实现,还是很好的-16:00 fft the procedure. Very good, compilers have achieved, or good
Platform: | Size: 293888 | Author: 席鹏飞 | Hits:

[VHDL-FPGA-VerilogVHDcf_fft_1024_8

Description: 1024点8位FFT的VHDL语言实现方式,大家可以参考一下。-1024-point FFT eight VHDL way, we can take a look.
Platform: | Size: 12288 | Author: 郭子荣 | Hits:

[VHDL-FPGA-Verilogfft_IPcore

Description: 这是一个fft的IP核,安装要求为quartus6.0以上。解压安装后可在quartus里例化使用,元件主要为cyclone和stratix,最大支持1024点的转换。
Platform: | Size: 8719360 | Author: 李杰 | Hits:

[Software Engineeringquartusfft

Description: Quartus MegaCore FFT usage. Incluging example.
Platform: | Size: 1131520 | Author: MyName | Hits:

[VHDL-FPGA-VerilogFFT_VHDL

Description: FFT的VHDL源文件,经过在Quartus II上的测试无错误-FFT of the VHDL source file, after the Quartus II on the test error
Platform: | Size: 28672 | Author: 沈克镇 | Hits:

[VHDL-FPGA-Verilogfftvhdl

Description: 用VHDL语言实现的FFT源代码,好使的程序,大家试试。QUARTUS II软件开发-VHDL language used to achieve the FFT source code, so that the procedure, we try. QUARTUS II software development
Platform: | Size: 28672 | Author: 王真 | Hits:

[VHDL-FPGA-VerilogFFT8

Description: 本设计根据OFDM系统的实际需要,提出一种用FPGA实现FFT运算的方案,并以64点FFT为例,在Quartus II软件上通过了综合和仿真。-The design of OFDM systems in accordance with the actual needs of a computing using FPGA realize FFT program, and 64-point FFT as an example, in the Quartus II software through a comprehensive and simulation.
Platform: | Size: 27648 | Author: 叶开 | Hits:

[OtherDSP_yingyongjishu

Description: 现代DSP技术 是西安电子科技大学的课件!有fft,fir,dspbulder,iir,quartus II 等内容,非常的详细,值得一看的好的ppt啊。我把好的资料贡献给大家看看啊1-Modern DSP technology is the Xi' an University of Electronic Science and Technology Courseware! There fft, fir, dspbulder, iir, quartus II and so on, very detailed, good to see ah ppt. Contribution to the information I give you a good look at ah 1
Platform: | Size: 25252864 | Author: 卢超 | Hits:

[VHDL-FPGA-VerilogQuartus_fft_ip_core

Description: Quartus中fft ip core的使用(modelsim 仿真FFT ip core 结合QUARTUS II 联合调试)-Fft ip core in Quartus use (modelsim simulation FFT ip core integration QUARTUS II Joint Commissioning)
Platform: | Size: 299008 | Author: 刘晓彬 | Hits:

[SCMQuartus

Description: Quartus中fft ip core的使用.txt-Fft ip core in Quartus use. Txt
Platform: | Size: 3072 | Author: ziyaajlm | Hits:

[Embeded-SCM Developfft3

Description: quartus 9.0 中FFT IP核的使用方法附带工程文件和用signaltapII抓到的波形-quartus 9.0 in FFT IP core attached to the use of engineering documents and the use of captured waveform signaltapII
Platform: | Size: 13032448 | Author: hewenlong | Hits:

[VHDL-FPGA-Verilogfftip

Description: Quartus中fft ip core的使用-Quartus in the use of fft ip core
Platform: | Size: 449536 | Author: mikecool | Hits:

[Otherfftsoft

Description: 应用altera的最新fft核做的使用范例,fft核遵循avalon总线。对于想使用altera的IP core的朋友有帮助-Application of nuclear altera do the latest example of the use fft, fft nuclear follow avalon bus. Who want to use the IP core of friends altera help
Platform: | Size: 4036608 | Author: 样样 | Hits:

[VHDL-FPGA-VerilogFFT

Description: 用VHDL语言建立了quartus工程,可进行dsp处理-VHDL dsp
Platform: | Size: 2048 | Author: cc | Hits:

[VHDL-FPGA-Verilogfft

Description: quartus中fft模块使用,很详细的fftIP核使用介绍。-quartus the fft module, a very detailed description fftIP nuclear use.
Platform: | Size: 1131520 | Author: qlg | Hits:

[VHDL-FPGA-Verilogfft

Description: Quartusii的FFT,使用Verilog HDL 语言的FFT-FFT based on Quartusii
Platform: | Size: 6505472 | Author: 孙兰 | Hits:

[VHDL-FPGA-Verilog32Kfft

Description: 32KFFT例程,适用于Quartus II 5.0 or later。- This design example requires the following software package: o Quartus II 5.0 or later o FFT MegaCore v2.1.3 o ModelSim version 6.0 or later
Platform: | Size: 998400 | Author: J | Hits:

[VHDL-FPGA-Verilogfft256

Description: quartus ii 中利用ip核生成fft模块,实现256点fft功能-quartus ii the use of nuclear generation fft ip module to achieve the 256 point fft function
Platform: | Size: 14550016 | Author: any | Hits:

[VHDL-FPGA-VerilogFFT-IP-CORE--of-Quartus

Description: Quartus中fft ip core的使用一点心得,希望对大家有所帮助。-The Quartus fft the ip core to use a little experience, we hope to help.
Platform: | Size: 313344 | Author: lg | Hits:

[VHDL-FPGA-VerilogAlter官方FFT程序(使用Verilog编写)

Description: 其主要使用verilog编写fft程序主体,之后通过quartus和matlab实现对fft程序的测试,可以很好做到自动化验证(The main use of verilog prepared fft main program, and then achieved by quartus and matlab fft program testing, you can do a good job of automated verification)
Platform: | Size: 995328 | Author: 未曾走远 | Hits:
« 12 3 »

CodeBus www.codebus.net