Welcome![Sign In][Sign Up]
Location:
Search - ps2 A

Search list

[DocumentsPS2 键盘鼠标协议 中文版

Description: 这是关于PS/2接口协议的一份资料,上面较详细了介绍了PS/2接口协议的内容以及应用。- This is about a PS/2 connection agreement material, above was more detailed introduced the PS/2 connection agreement content as well as the application.
Platform: | Size: 620544 | Author: 朱富毅 | Hits:

[Software EngineeringPS2

Description: PS2键盘的使用,7290键盘的使用,怎么用红外来解码哟,大家不妨看看嘛,说不定有所帮助哟?-PS2 keyboard use, the use of the keyboard 7290, how to use infrared to decode yo, we may as well take a look at them, maybe help yo?
Platform: | Size: 6144 | Author: 向胜昔 | Hits:

[VHDL-FPGA-VerilogPS2-IP-CORE-VHDL

Description: 一个PS2 IP CORE(VHDL) for FPGA-A PS2 IP CORE (VHDL) for FPGA
Platform: | Size: 26624 | Author: nanotalk | Hits:

[VHDL-FPGA-Verilogps2

Description: 用键盘控制FPGA,再由FPGA控制VGA显示器是好东西-Use the keyboard to control FPGA, and then by the FPGA to control VGA display is a good thing
Platform: | Size: 465920 | Author: 张俊 | Hits:

[VHDL-FPGA-Verilogps2

Description: PS/2通讯协议是一种双向同步串行通讯协议。通讯的两端通过Clock(时钟脚)同步,并通过Data(数据脚)交换数据。任何一方如果想抑制另外一方通讯时,只需要把Clock(时钟脚)拉到低电平。一般两设备间传输数据的最大时钟频率是33kHz,大多数PS/2设备工作在10~20kHz。推荐值在15kHz左右,也就是说,Clock(时钟脚)高、低电平的持续时间都为40μs。每一数据帧包含11~12个位。 -PS/2 communication protocol is a bi-directional synchronous serial communication protocol. Communication at both ends through the Clock (the clock pin) synchronization, and Data (data pin) to exchange data. If you want to inhibit any of the parties the other party of communication, just to Clock (Clock feet) down low. The general transmission of data between two devices of the maximum clock frequency is 33kHz, the majority of PS/2 devices work in the 10 ~ 20kHz. Recommended value of around 15kHz, which means, Clock (Clock feet) high, low for the duration of 40μs. Each data frame contains 11 ~ 12-bit.
Platform: | Size: 1024 | Author: | Hits:

[SCM19_PS2

Description: 在AVR单片机中驱动开发板上的PS2接口,实现读取PS2键盘的扫描码,并翻译成ASCII码,在 1602液晶上显示-In the AVR MCU-driven development board PS2 interface, the realization of read PS2 keyboard scan code, and translated into ASCII code, in the 1602 LCD display
Platform: | Size: 43008 | Author: 章东升 | Hits:

[SCMPS2

Description: 开发用于键盘的转换,有大多是用于很多媒介-Development of the keyboard for the conversion, have mostly used a lot of media
Platform: | Size: 748544 | Author: mingtian | Hits:

[SCMPS2-read

Description: PS2电脑键盘用单片机读写 可以直接用键盘与单片机相连,实现数据传输,用廉价的单片机代替pc做数据运算-PS2 computer keyboard MCU can read and write using a keyboard directly connected with the single-chip, to achieve data transmission, low-cost single-chip microcomputer used in place of data pc to do calculations
Platform: | Size: 1024 | Author: caifeng | Hits:

[SCMps2

Description: 单片机与键盘接口程序,C代码,简单好实用。-SCM and the keyboard interface program, C code, a simple and practical good.
Platform: | Size: 90112 | Author: 张展睿 | Hits:

[Technology ManagementPS2

Description: ps/2键盘协议中文资料,希望对不习惯看E文的朋友有帮助!-ps/2 keyboard protocol information in Chinese, and they hope to look at E-man, not accustomed to help a friend!
Platform: | Size: 621568 | Author: 白衣 | Hits:

[SCMPS2

Description: PS2 读取键盘数具,非常好的一个软件PCB-PS2 keyboard to read a few, a very good software, PCB
Platform: | Size: 5237760 | Author: czq | Hits:

[SCMps2

Description: 这是一个用c编的程序,程序用于52单片机驱动电脑键盘, 是ps/2接口,用串口发送按键值,可用串口调试助手调试,本程序已经调试通过,用的kell编译的。-This is a use of c-series procedures, procedures for the 52 single-chip-driven computer keyboard is ps/2 interface, use the serial send button values, available serial port debugging aide debugging, the debugging process has passed, the compiler used by kell of.
Platform: | Size: 14336 | Author: wangjunbin | Hits:

[SCMps2

Description: ps2 接口协议 一定要看看啊 一定很有用的-ps2 interface protocol must take a look at certain very useful ah
Platform: | Size: 111616 | Author: wwzzyy | Hits:

[SCMps2

Description: PS/2键盘解码完全有单片机程序实现,硬件上只需要一个键盘接口,C源代码在KEIL2通过-PS/2 keyboard is fully decoding procedures to achieve single-chip, hardware, only needs a keyboard interface, C source code in KEIL2 through
Platform: | Size: 55296 | Author: 王横 | Hits:

[Embeded-SCM DevelopPS2

Description: 一个PS2键盘程序(LPC2200) 可以有键盘输入-Procedures for a PS2 keyboard (LPC2200) can have keyboard input
Platform: | Size: 29696 | Author: 玉日 | Hits:

[VHDL-FPGA-VerilogPs2

Description: 这是一个用FPGA控制PS2接口的程序,里面用VHDL语言编写,希望大家踊跃下载!-This is a PS2 interface with FPGA control procedures, which use VHDL language, hope that we enthusiastically download!
Platform: | Size: 884736 | Author: 裴跃生 | Hits:

[SCMPS2-interface

Description: 基于MS51系列单片机的PS2接口程序,有完整的功能和详细的说明。-MS51-based MCU Series PS2 interface program, there is a complete function and detail.
Platform: | Size: 38912 | Author: | Hits:

[Embeded-SCM DevelopPS2

Description: PS/2键盘源码,可在各种单片机运行,可以实现大写和小写,利用shift键-PS/2 keyboard source code can be run in a variety of single-chip, you can achieve uppercase and lowercase, using shift key
Platform: | Size: 3405824 | Author: 周春明 | Hits:

[SCMps2

Description: 实现ps2键盘功能,可实现电脑26个按键的功能。-Achieve ps2 keyboard function, a computer keyboard functions
Platform: | Size: 2048 | Author: 赵朴 | Hits:

[assembly languageInterfaz-Ps2-Rs232

Description: Conversor PS2 a Rs232 con PIC
Platform: | Size: 45056 | Author: mar | Hits:
« 12 3 4 5 6 7 8 9 10 ... 18 »

CodeBus www.codebus.net