Welcome![Sign In][Sign Up]
Location:
Search - procedure of keyboard ps2

Search list

[Other resourceKeyboardPS2_44B0

Description: 标准PS2键盘源程序(S3C44B0X) ****** 没办法,要得到就先要付出,无奈之下共享此苦心编写的代码,绝对原创!绝对稳定!注:本程序是为uCGUI而编写的,占有44B0的UART1,采用第三套扫描码,最终和uCGUI的接口函数结合,程序有详细的解析。记得当时开发PS2键盘程序时,感觉网上资料太少或者不全,希望能带来帮助!-standard PS2 keyboard source (S3C44B0X) ****** not do. to be paid on the first and desperation shared this painstakingly prepared by the code absolute originality! Absolutely! Note : This procedure is uCGUI prepared for the possession of 44 B0 UART1 using third scan code, uCGUI final and the interface functions with procedures detailed analysis. Remember that the procedures developed PS2 keyboard, feeling too little information on the Internet or incomplete, hope to bring help!
Platform: | Size: 5910 | Author: jackychen | Hits:

[Other Embeded programKeyboardPS2_44B0

Description: 标准PS2键盘源程序(S3C44B0X) ****** 没办法,要得到就先要付出,无奈之下共享此苦心编写的代码,绝对原创!绝对稳定!注:本程序是为uCGUI而编写的,占有44B0的UART1,采用第三套扫描码,最终和uCGUI的接口函数结合,程序有详细的解析。记得当时开发PS2键盘程序时,感觉网上资料太少或者不全,希望能带来帮助!-standard PS2 keyboard source (S3C44B0X)****** not do. to be paid on the first and desperation shared this painstakingly prepared by the code absolute originality! Absolutely! Note : This procedure is uCGUI prepared for the possession of 44 B0 UART1 using third scan code, uCGUI final and the interface functions with procedures detailed analysis. Remember that the procedures developed PS2 keyboard, feeling too little information on the Internet or incomplete, hope to bring help!
Platform: | Size: 6144 | Author: jackychen | Hits:

[VHDL-FPGA-Verilogps2_keyboard

Description: PS2键盘控制程序实验的内容是用EDK建一个简单的系统并加入自定义的外设(一个ps2键盘控制器) 当键盘按下时会有相应的键扫描码输出显示到PC终端 -PS2 keyboard to control the content of the experimental procedure is used EDK build a simple system and add custom peripherals (a ps2 keyboard controller) when the keyboard is pressed the corresponding button will scan code to the PC terminal output shows
Platform: | Size: 5120 | Author: 刘安 | Hits:

[SCMps2Sourcecode

Description: 本程序为应用在Arm7下的Ps2键盘接口程序。避免了因多中断而数据出现错误的情况-This procedure is used in ARM7 under the Ps2 keyboard interface program. To avoid interruption caused by more than the case of data error
Platform: | Size: 2048 | Author: zhaoyq | Hits:

[SCMps2+lcm1602

Description: WINAVR编写的PS2键盘驱动,跟1602显示键盘扫描码的程序-WINAVR prepared PS2 keyboard-driven, with the 1602 show the keyboard scan code of procedure
Platform: | Size: 98304 | Author: 陈国健 | Hits:

[SCMMSP430F149_PS2_keyboard_SN74LVC4245

Description: 本程序使用MSP430F149驱动PS2接口的PC键盘,使用了SN74LVC4245用作电平转换;PS2 端口使用了标准的六芯插座,可以接收来自标准键盘、鼠标的数据。由于键盘、鼠标都是 5V 供电系统,而 MSP430F149 只能工作在 3.3V,所以需要在两者之间进行电平转换。根据键盘的工作原理,MCU只要接收键盘发送过来的时钟信号和数据信号,然后对数据信号进行解码就可以了。为此我们利用了电平转换电路的两根数据线,让键盘的时钟线 CLK 连接SN74LVC4245 的A8 端口,键盘的数据线DATA连接SN74LVC4245 的A7 端口-This procedure using the MSP430F149 the PC interface driver PS2 keyboard, used for SN74LVC4245 level conversion PS2 port using a standard six-core socket, you can receive from the standard keyboard and mouse data. As the keyboard, mouse are 5V power supply system, while the MSP430F149 can only work in 3.3V, so it is necessary to carry out between the two-level conversion. According to the working principle of the keyboard, MCU as long as the receiver from the keyboard to send the clock signal and data signals, data signals and then decode it. To this end, we made use of level-shifting circuit of two data lines, so that the keyboard clock line CLK of the A8 connection SN74LVC4245 port, keyboard data line DATA port to connect SN74LVC4245 the A7
Platform: | Size: 36864 | Author: skywalker | Hits:

[SCMPS2_KEY

Description: 此文件是在blackfin533下实现的ps2键盘程序,用的是中断的方式进行的管理。-This file is in blackfin533 under the ps2 keyboard procedure is interrupted by way of management.
Platform: | Size: 2048 | Author: 栾新军 | Hits:

[SCM104keyPS2_program(C)

Description: 104键PS2接口标准键盘程序(C语言)单片机程序例程-104 key PS2 keyboard interface standard procedures (C language) Singlechip routine procedures
Platform: | Size: 23552 | Author: 刘长利 | Hits:

[SCMkey_b

Description: 本程序主要通过外部中断INT0及3.3端口读取PS2键盘值并通过LCD1602显示,键扫描码的解码通过数组方式解码,程序的解码功能主要针对数字及大小写字母和常用标点符号 硬件描述:PS2键盘的时钟线(clk)接89S51的INT0(P3.2),数据线data接(P3.3) LCD的控制端口分别为: RS = P2^7,RW = P2^6,EP = P2^5,数据端口为P0,液晶显示偏压VL必须接 -This procedure mainly through external interrupt INT0 and 3.3 read PS2 keyboard port value through LCD1602 display, key scan code decoder way through an array of decoding, the procedure for decoding function of the major figures and upper and lower case letters and punctuation marks commonly used hardware description: PS2 keyboard clock line (clk) then 89S51 the INT0 (P3.2), data lines data access (P3.3) LCD control port, respectively: RS = P2 ^ 7, RW = P2 ^ 6, EP = P2 ^ 5, data ports for the P0, LCD bias VL must be received
Platform: | Size: 33792 | Author: 曹兴 | Hits:

[SCM51_PS2_Key_Program

Description: 利用51单片机编写的PS2键盘程序,希望对大家有所帮助!-51 single-chip microcomputer use PS2 keyboard prepared by the procedure, I hope all of you to help!
Platform: | Size: 98304 | Author: jianhua | Hits:

[Other Embeded programps2-keyboard

Description: 本程序用于读出PS2键盘的码值并显示在1602显示器上。调试成功。可以移植在51单片机上。-This procedure is used to read out the code value of PS2 keyboard and display monitor in 1602. Debugging success. SCM can be transplanted in 51.
Platform: | Size: 19456 | Author: liqiang | Hits:

[VHDL-FPGA-Verilogps2test

Description: 本代码功能为实现接收PS2键盘编码功能。 程序通过quartusII 8.1编译,使用verilog语言编写。 可在彬杰科技*BJTECH公司基于altera epm240的开发板上验证。 (开发板网址http://item.taobao.com/auction/item_detail-0db1-69fe7069aa3ba544abf783bc4427b377.htm) 有需要的朋友可以下载参考-The code functions to achieve the receiver PS2 keyboard encoding. Procedure quartusII 8.1 compiler, use the verilog language. Bin Jie in science and technology* BJTECH company' s development board based on altera epm240 verification. (Development Board web site http://item.taobao.com/auction/item_detail-0db1-69fe7069aa3ba544abf783bc4427b377.htm) in need of friends can download reference
Platform: | Size: 118784 | Author: 彬杰科技 | Hits:

[VHDL-FPGA-Verilogps2

Description: 本程序实现的是基于FPGA的ps/2键盘接口电路,能够在数码管上显示出键盘的输入内容!-This procedure is based on FPGA implementation of the ps/2 keyboard interface circuit, able to display the digital keyboard input!
Platform: | Size: 2025472 | Author: 张东林 | Hits:

[VHDL-FPGA-Verilogps2dfe

Description: 本程序可实现用单片机来代替ps2键盘来给电脑输入数据-This procedure can be realized using a microcontroller instead of the ps2 keyboard to the computer input data
Platform: | Size: 7168 | Author: 8137268 | Hits:

[SCMpinyin-input-of-microcontroller

Description: 此程序是用单片机实现拼音输入,整个程序包括了PS2键盘输入程序、液晶显示程序、拼音输入程序。可以方便用户移植到任何嵌入式产品中-This procedure is used MCU pinyin input, the entire process including the PS2 keyboard input program, LCD display program, Pinyin input program. Users can easily ported to any embedded products
Platform: | Size: 96256 | Author: 金文锋 | Hits:

CodeBus www.codebus.net