Welcome![Sign In][Sign Up]
Location:
Search - pong game vhdl

Search list

[Game ProgramVHDLbh

Description: 带获胜音乐的拔河游戏机,用计数器 译码器组成-With winning the tug-of-war music video game
Platform: | Size: 496640 | Author: tommy | Hits:

[Button controlppong

Description: FGPA code to implement a ping-pong game. There will be LEDs simulating the path of ball , and two button representing the player. The player should hit the button when the ball reach his end. If the player hit the ball, it will go to the other player. When the player does not hit at the right time, he will lose.-FGPA code to implement a ping-pong game. There will be LEDs simulating the path of ball , and two button representing the player. The player should hit the button when the ball reach his end. If the player hit the ball, it will go to the other player. When the player does not hit at the right time, he will lose.
Platform: | Size: 196608 | Author: lzm | Hits:

[VHDL-FPGA-Verilogfpga_pong

Description: fpga code for pong game
Platform: | Size: 569344 | Author: kasmi | Hits:

[Game Programpong_1

Description: verilog pong game done in vhdl using graphics the game is very simple and starter can use it.
Platform: | Size: 1024 | Author: hulla | Hits:

[Shot GamePongGame

Description: A simple pong game for VHDL
Platform: | Size: 3072 | Author: dagcilibili | Hits:

[VHDL-FPGA-Verilogpingpang

Description: 两人乒乓球游戏机是用9个发光二极管代表乒乓球台,用点亮的发光二极管按一定的方向移动来表示球的运动。在游戏机的两侧各设置一个开关,即击球开关Hit A,HitB。甲乙二人按乒乓球比赛规则来操作开关。当甲按动击球开关时,靠近甲的第一个二极管亮,然后发光二极管由甲向乙依次点亮,代表乒乓球的移动。当球过网(中点)时,乙方可以击球。若乙方提前或是没击中球则判乙方失分,甲方的计分牌自动加分。然后重新发球,比赛继续。比赛直到一方分数达到11分时,比赛结束。 -Two table tennis game with nine leds with light table tennis, representing the light emitting diode according to certain direction to move the ball movement. On both sides of the game to set A switch, namely the ball Hit A HitB, switch. 2 party b according to table tennis match rules switch. When a button when hitting switch near the first light emitting diode, then led by a to b, in light of the table tennis movement. Representative When the ball over the net (middle), party b can hit. If party b or didn t hit the ball ahead is sentenced to party b, party a s scoreboards automatic points. Then again, continue to serve. Until one reaches 11 points, the end of the match.
Platform: | Size: 4096 | Author: Shine | Hits:

[VHDL-FPGA-VerilogVHDL_TipsTricks

Description: Pong game development and implementation in VHDL
Platform: | Size: 527360 | Author: Senthil | Hits:

[VHDL-FPGA-Verilogpingpong

Description: 利用DE2开发板在VGA上实现乒乓球游戏,并做了背景的改进,增强趣味性。已经调试过,可用。-DE2 development board using the VGA to achieve table tennis game, and gave the background to improve and enhance fun. Has been debugged and available.
Platform: | Size: 1241088 | Author: monica | Hits:

[VHDL-FPGA-VerilogVGA_PONG

Description: pong game using vhdl code.a simple one.
Platform: | Size: 758784 | Author: Hari | Hits:

[VHDL-FPGA-VerilogVHDL-Ping-pong

Description: 基于VHDL的乒乓球游戏的设计,包含代码,仿真结果等。-Table tennis game in VHDL-based design, including the code, the simulation results.
Platform: | Size: 3072 | Author: 李皓 | Hits:

[VHDL-FPGA-Verilogpingpangqiu

Description: 使用vhdl语言设计的乒乓球比赛游戏机,一个由甲乙双方参赛,有裁判的三人乒乓球游戏机。用8个发光二极管代表乒乓球台,中间两个发光二极管兼做乒乓球网,两边各代表参赛双方的位置,用点亮二极管按照一定方向移动来表示球的运动。在游戏机的两侧各设置两个开关,一个是发球开关(af,bf),另一个是击球开关(aj,bj)。甲乙二人按乒乓球比赛的规则来操作开关。 点亮,代表乒乓球在移动。比赛一直进行到一方几分为11分,该局结束,记分牌清零,可以开始新的一局比赛。 -Using VHDL language design table tennis game consoles, a competition by both parties, the referee of three table tennis game. Double as a table tennis net with eight light-emitting diodes on behalf of a ping-pong table, in the middle of two light-emitting diodes, on both sides of the representatives participating both lit diode according to a certain direction to move to represent the movement of the ball. Setting two switches, each on both sides of the ride is a tee switch (af, bf), another is batting switch (aj, bj). B two table tennis competition rules to operate the switch. On behalf of table tennis in mobile. The game has been carried out to the party a bit for 11 minutes it ends scoreboard is cleared, you can start a new innings.
Platform: | Size: 492544 | Author: 陈小龙 | Hits:

[Other Gamespingpong

Description: Pong game on Spartan 3E with VHDL
Platform: | Size: 730112 | Author: Abdul | Hits:

[VHDL-FPGA-Verilogtoplevel_png

Description: top level for ping pong game on vhdl
Platform: | Size: 1024 | Author: Abdul | Hits:

[Other GamesPingpong

Description: A Altera DE-2 ping pong game which using a PS/2 keyboard to control.VGA port of DE-2 will be the output of the game video.The sources code build from VHDL code on Quartus II.-A Altera DE-2 ping pong game which using a PS/2 keyboard to control.VGA port of DE-2 will be the output of the game video.The sources code build from VHDL code on Quartus II.
Platform: | Size: 18709504 | Author: kkddaa | Hits:

[Game Enginepong

Description: PONG GAME VHDL FPGA BASED
Platform: | Size: 923648 | Author: kaka123 | Hits:

[Other GamesVHDL-Pong-master

Description: PONG GAME VHDL PROGRAM
Platform: | Size: 6144 | Author: nikhil | Hits:

[Other GamesPing-Pong-Game-in-Actel-VHDL

Description: Ping-Pong Game in Actel VHDL
Platform: | Size: 117760 | Author: Lucky | Hits:

[VHDL-FPGA-Verilogpong

Description: Simple pong VGA game implemented in VHDL. It can be used as example for FPGA-programmers to show how handle VGA displaying with FGPA device.
Platform: | Size: 7681024 | Author: John | Hits:

CodeBus www.codebus.net