Welcome![Sign In][Sign Up]
Location:
Search - pid in verilog

Search list

[VHDL-FPGA-Verilogfuzzy_inference

Description: VHDL模糊PID控制器模糊推理,推理结果:直接用经验值输出。-Fuzzy PID controller VHDL fuzzy reasoning, reasoning results: the direct use of the experience of the value of output.
Platform: | Size: 2048 | Author: Huanggeng | Hits:

[VHDL-FPGA-Verilogpid_vhdl_code

Description: PID controller... ... ... ... ... ... ... ... ..... -PID controller.....................................................
Platform: | Size: 71680 | Author: kiran | Hits:

[VHDL-FPGA-Verilogpid_controler_latest.tar

Description: PID控制器的verilog实现,做闭环控制器的人可以参考-PID controller verilog implementation of closed-loop controller may make reference to
Platform: | Size: 3072 | Author: | Hits:

[VHDL-FPGA-VerilogPID

Description: 用Verilog HDL编写的PID程序代码,成功调试,运行良好。-The source code of PID in Verilog HDL.Simulation was successful.
Platform: | Size: 1232896 | Author: Alen Fielding | Hits:

[VHDL-FPGA-VerilogPID_Verilog

Description: PID算法用verilog语言实现,实测可用,由三个模块组成(The PID algorithm is implemented in Verilog language. The actual measurement is available. It consists of three modules.)
Platform: | Size: 1024 | Author: wrnd | Hits:

CodeBus www.codebus.net