Welcome![Sign In][Sign Up]
Location:
Search - pcm vhdl code

Search list

[Other resourcepcm(8)

Description: 语音编码的VHDL源码,已经调试通过.压缩文件中包括调试过程代码.-speech coding VHDL source code, debugging has been adopted. Compressed files to include debugging code.
Platform: | Size: 80607 | Author: zhangruqi | Hits:

[Parallel Porte047_pcmciatob

Description: 自制pcmcia并口vhdl代码,及制作所须其他资料.-homemade pcmcia parallel VHDL code and the production of other required information.
Platform: | Size: 3924992 | Author: 孙德黎 | Hits:

[VHDL-FPGA-Verilogpcm(8)

Description: 语音编码的VHDL源码,已经调试通过.压缩文件中包括调试过程代码.-speech coding VHDL source code, debugging has been adopted. Compressed files to include debugging code.
Platform: | Size: 79872 | Author: zhangruqi | Hits:

[Mathimatics-Numerical algorithmsG711-A

Description: g711-pcm的音频编码VHDL源代码-g711-pcm audio coding VHDL source code
Platform: | Size: 12288 | Author: feng | Hits:

[Multimedia programcaibian

Description: PCM通信数据串码的形成,用FPGA产生的数据采集.-PCM communication data string to form code, using FPGA generated data collection.
Platform: | Size: 58368 | Author: 高静 | Hits:

[Multimedia programline_alaw

Description: 线性PCM到A律pcm的Verilog编码源程序-Linear PCM to the Verilog code pcm A law source
Platform: | Size: 1024 | Author: 李果霖 | Hits:

[VHDL-FPGA-Verilogalaw

Description: 使用VHDL实现通信脉冲编码调制(PCM)中的a律转换,并实现串并、并串转换。-Use VHDL to achieve communication pulse code modulation (PCM) of a law conversion, and to achieve and string, and string conversion.
Platform: | Size: 5120 | Author: wl | Hits:

[VHDL-FPGA-Verilogulaw

Description: 使用VHDL语言,实现通信脉冲编码调制(PCM)的u律压缩。-Using VHDL language, the realization of communication pulse code modulation (PCM) of u law compression.
Platform: | Size: 5120 | Author: wl | Hits:

[VHDL-FPGA-VerilogBFL_Encode

Description: 将宽度为width位的并行输入数据按BiΦ-L码(曼彻斯特码)方式进行编码后串行输出,输出数据的宽度为(2*width),BiΦ-L码是PCM码的一种,常用的PCM编码方式有:NRZ-L,BiΦ-L和BiΦ-M三种-The width of the parallel-bit width input data by BiΦ-L code (Manchester code) way encoded serial output, the output data width (2* width), BiΦ-L code is a PCM code, commonly used Has PCM encoding: NRZ-L, BiΦ-L and three BiΦ-M
Platform: | Size: 1024 | Author: 贺明辉 | Hits:

[Embeded-SCM Developcode

Description: this gives information about PCM
Platform: | Size: 64512 | Author: shiva | Hits:

[VHDL-FPGA-Verilogpcm

Description:   在光纤通信系统中,光纤中传输的是二进制光脉冲"0"码和"1"码,它由二进制数字信号对光源进行通断调制而产生。而数字信号是对连续变化的模拟信号进行抽样、量化和编码产生的,称为PCM(pulse code modulation),即脉冲编码调制。这种电的数字信号称为数字基带信号,由PCM电端机产生。-In optical fiber communication systems, fiber-optic transmission of light pulses is a binary " 0" code and " 1" code, which is a binary digital signal to carry out on-off modulation source derived. The digital signal is a continuously variable analog signal sampling, quantization and coding generated is called PCM (pulse code modulation), or pulse code modulation. Such as digital signal power digital baseband signal by the PCM client machine generated electricity.
Platform: | Size: 1024 | Author: 圈石 | Hits:

[VHDL-FPGA-VerilogMAIN_RX_V10

Description: 8路视频光端机 接收侧 VHDL源码,使用了千兆以太网SERDES芯片,基于TBI接口的PCM视频传输。-8-Channel Video Optical Receiver side of VHDL source code, using the Gigabit Ethernet SERDES chip, based on the TBI interface PCM video transmission.
Platform: | Size: 1088512 | Author: tr | Hits:

[VHDL-FPGA-Verilogaudio_codec

Description: i2s协议时飞利浦公司专门为开发音频而开发的协议,这是它的VHDL代码,希望有帮助-i2s agreement, Philips developed specifically for the development of the audio protocol, which is its VHDL code, and want to help
Platform: | Size: 1742848 | Author: 王涛 | Hits:

[VHDL-FPGA-VerilogVHDLpcm

Description:
Platform: | Size: 142336 | Author: 孟刚 | Hits:

[VHDL-FPGA-VerilogVHDL_TP3067_PCM

Description: 用VHDL写的控制TP3067实现PCM编译码程序 包括系统原理图,VHDL源程序,各部分电路仿真。及完整的课程设计报告 -To use VHDL to write the control of TP3067 to achieve PCM encoding and decoding procedures, including system schematic, VHDL source code, the part of the circuit simulation. And complete report on curriculum design
Platform: | Size: 3392512 | Author: | Hits:

[VHDL-FPGA-VerilogpCM

Description: 讲述数据通信PCM码原理 很适合初学者 很好的 你一定要看-About the principles of data communication is very suitable for PCM code you have to look very good for beginners
Platform: | Size: 947200 | Author: qzl001 | Hits:

[VHDL-FPGA-VerilogPCM

Description: PCM信号的码同步提取;短脉冲滤除;VHDL语言-PCM code synchronization signal extraction short pulse filter VHDL language
Platform: | Size: 515072 | Author: Troy | Hits:

[VHDL-FPGA-VerilogFPGAMP3_LUKA_Project_Proposal

Description: The goal of this project is to design a MPEG Layer III (MP3) player using a FPGA board. The FPGA will read MP3 source files, decode them into a 16-bit Pulse Code Modulated (PCM) output, and play the audio files through an external speaker.
Platform: | Size: 155648 | Author: Amol/justamol | Hits:

[VHDL-FPGA-VerilogPCM

Description: 本例设计一个码率为500kb/s,字长为8 位、帧长为128 个字、帧同步码为EB90H 的PCM 采编器。用VHDL语言实现的。-This designs a code to lead for the 500 kbs|s, the word is long for 8, the growing is synchronous code of for 128 words and for the EB90 H of PCM adopt to weave a machine.Use what VHDL language carry out.
Platform: | Size: 97280 | Author: mr.liu | Hits:

[VHDL-FPGA-Verilogpcm

Description: 24选8多路选择计数器 PCM编解码,采编器VHDL 源代码,包括顶层文件。-PCM(Pule code modulation) code and decoder
Platform: | Size: 1024 | Author: 周跃辉 | Hits:
« 12 »

CodeBus www.codebus.net