Welcome![Sign In][Sign Up]
Location:
Search - memory rom

Search list

[Static control20051030102936746

Description: 13.2.3 动态RAM单元 653 13.3 只读存储器(ROM) 655 13.3.1 掩模编程ROM 655 13.3.2 可擦除可编程只读存储器 EPROM 656 13.3.3 电可擦除可编程只读存储器 EEPROM 660 -13.2.3 dynamic RAM module 653 13.3 read-only memory (ROM) 655 13. 3.1 mask-programmed ROM 655 13.3.2 erasable programmable read-only memory EPROM 656 13 .3.3 -- erasable programmable read-only memory EEPROM 660
Platform: | Size: 88810 | Author: lzy | Hits:

[Other resourcerom

Description: Read-only memory,Verilog code
Platform: | Size: 8237 | Author: leigh lee | Hits:

[Other resource404-MTD源代码分析

Description: MTD(memory technology device内存技术设备)是用于访问memory设备(ROM、flash)的Linux的子系统。MTD的主要目的是为了使新的memory设备的驱动更加简单,为此它在硬件和上层之间提供了一个抽象的接口。-MTD (memory technology device memory technology equipment) is the equipment for accessing memory (ROM, flash) Linux subsystem. MTD's main purpose is to make the new memory devices drive more simple, for its hardware and the upper between an abstract interface.
Platform: | Size: 94204 | Author: 林辰 | Hits:

[SCMmyCRC1111111

Description: crc计算方法之一,适合于有较大ROM或外部存储器的单片机-unicef.org calculation method, one more suitable for external memory or ROM Microcontroller
Platform: | Size: 1024 | Author: 梁朝祖 | Hits:

[Embeded Linux404-MTD源代码分析

Description: MTD(memory technology device内存技术设备)是用于访问memory设备(ROM、flash)的Linux的子系统。MTD的主要目的是为了使新的memory设备的驱动更加简单,为此它在硬件和上层之间提供了一个抽象的接口。-MTD (memory technology device memory technology equipment) is the equipment for accessing memory (ROM, flash) Linux subsystem. MTD's main purpose is to make the new memory devices drive more simple, for its hardware and the upper between an abstract interface.
Platform: | Size: 94208 | Author: 林辰 | Hits:

[Static control20051030102936746

Description: 13.2.3 动态RAM单元 653 13.3 只读存储器(ROM) 655 13.3.1 掩模编程ROM 655 13.3.2 可擦除可编程只读存储器 EPROM 656 13.3.3 电可擦除可编程只读存储器 EEPROM 660 -13.2.3 dynamic RAM module 653 13.3 read-only memory (ROM) 655 13. 3.1 mask-programmed ROM 655 13.3.2 erasable programmable read-only memory EPROM 656 13 .3.3-- erasable programmable read-only memory EEPROM 660
Platform: | Size: 88064 | Author: lzy | Hits:

[OtherFlashROM1234

Description: Flash-ROM(闪存)已经成为了目前最成功、流行的一种固态内存,与 EEPROM 相比具有读写速度快,而与 SRAM 相比具有非易失、以及价廉等优势。而基于 NOR 和 NAND 结构的闪存是现在市场上两种主要的非易失闪存技术。 Intel 于 1988 年首先开发出 NOR flash 技术,彻底改变了原先由 EPROM 和 EEPROM 一统天下的局面。紧接着,1989 年东芝公司发表了 NAND flash 技术(后将该技术无偿转让给韩国 Samsung 公司),强调降低每比特的成本,更高的性能,并且象磁盘一样可以通过接口轻松升级。-Flash-ROM (flash memory) has become the most successful and popular form of solid-state memory, Compared with the EEPROM with faster read and write, compared with SRAM and nonvolatile, and the advantages of cheap. For the NOR, and NAND flash memory structure of the market is now two main nonvolatile flash memory technology. Intel in 1988 to develop the first NOR flash technology, completely changed from the original EPROM and EEPROM dominate the market situation. Then, 1989 Toshiba Corporation issued a NAND flash technology (free after the technology transfer to the South Korean Sam sung), stressing the lower cost per bit, higher performance, and the same as the disk interface can be easily upgraded.
Platform: | Size: 55296 | Author: 吕过 | Hits:

[VHDL-FPGA-Verilogcchq

Description: 用嵌入式阵列(EAB)单元设计一个8×8的只读存储器(ROM),用来实现两个四位二进制数的相乘功能-With embedded array (EAB) units to design a 8 × 8 read-only memory (ROM), used to achieve two of four multiplied by the number of binary features
Platform: | Size: 4096 | Author: 吴乔红 | Hits:

[GDI-Bitmaprom-load_map

Description: 该程序实现了将图片读入内存DC,但不读入窗口DC,并可根据坐标在图片相应位置写字的功能;点鼠标右键弹出对话框设置坐标,点确定保存图片!-The program will realize the picture is read into memory DC, but do not read into the window DC, and in accordance with the location coordinates in the picture and write the corresponding function point the right mouse button pop-up dialog box set the coordinates of points determined to preserve picture!
Platform: | Size: 123904 | Author: 赵兴方 | Hits:

[VHDL-FPGA-Verilogrom

Description: Read-only memory,Verilog code
Platform: | Size: 8192 | Author: leigh lee | Hits:

[ARM-PowerPC-ColdFire-MIPSstm32

Description: 新年派送的STM32迷礼套件配套光盘资料,含原理图,例程,ic pdf.-New Year s ceremony for the delivery of the STM32 fans supporting CD-ROM package, including schematics, routines, ic pdf.
Platform: | Size: 14234624 | Author: ali | Hits:

[SCMfc

Description: 用51单片机做的简单频率计,KEIL C环境,带工程文件,可直接编译运行.主要演示如何在内存很少,无法启用RTOS的单片机(例如2051)中使用状态机和时间片来完成实时多任务并行处理.例子中对键盘,信号采集和LED数码管的显示三部分进行了并行处理,而采用的单片机是仅有256字节内存2K ROM的89C2051.-51 Singlechip to do with a simple frequency meter, KEIL C environment, with project file can be directly compiled to run. The main demonstration of how little memory, can not be enabled MCU RTOS (eg 2051) the use of state machine and the time slice to complete the real-time multi-task parallel processing. examples of the keyboard, signal acquisition and digital tube LED display of the three parts of a parallel processing, which is only used in single-chip 256-byte of the 2K ROM memory 89C2051.
Platform: | Size: 21504 | Author: rainyss | Hits:

[JSP/JavaJAVAemail

Description: 实现邮件群发 简短方便 推荐运行环境 1.操作系统:Windows 9x/Me/2000/XP。 2.CPU :Pentium及兼容芯片300MHz以上。 3.内存 :64MB以上。 4.显示模式:支持800×600像素以上的分辨率、16位以上的色彩。 5.其他 :8倍速以上CD-ROM或DVD-ROM驱动器。 -To facilitate the realization of mass-mailing a brief recommended operating environment 1. Operating System: Windows 9x/Me/2000/XP. 2.CPU: Pentium-compatible chips and more than 300MHz. 3. Memory: 64MB or more. 4. Display mode: Support for more than 800 × 600 pixel resolution, more than 16 colors. 5. Others: 8x or more CD-ROM or DVD-ROM drive.
Platform: | Size: 5120 | Author: 林涛 | Hits:

[VHDL-FPGA-VerilogVHDL-ROM4

Description: 基于ROM的正弦波发生器的设计:1.正弦发生器由波形数据存储模块(ROM),波形发生器控制模块及锁存模块组成 2.波形数据存储模块(ROM)定制数据宽度为8,地址宽度为6,可存储 64点正弦波形数据,用MATLAB求出波形数据。 3.将50MHz作为输入时钟。 -ROM-based design of the sine wave generator: 1. Sinusoidal waveform generator by the data storage module (ROM), waveform generator control module and latch module 2. Waveform data storage module (ROM) custom data width of 8 , address width of 6, can store 64 points sinusoidal waveform data, waveform data are obtained using MATLAB. 3. To 50MHz clock as input.
Platform: | Size: 98304 | Author: 宫逢源 | Hits:

[VHDL-FPGA-Verilogvga_hex_disp

Description: 该项目可在VGA显示器上显示RAM或ROM中的十六进制数据,使用VerilogHDL语言编写,在QuartusII开发环境下验证。-The Project displays the content of memory cells in the form of hexadecimal numbers. It uses RAM and ROM memory modules available through special functions. This is why before compiling the whole code the user should open mem.v file and change lpm_ram declarations in RAM module and lpm_rom declarations in ROM module into such that are suitable for a particular producer and scheme. There also may appear the necessity of converting .mif files used to memory initialization. The Memory Initialization File is serviced by the Quartus II environment developed by Altera.
Platform: | Size: 18432 | Author: submars | Hits:

[Otheradvdos-Duncan

Description: The Microsoft(R) Guide for Assembly Language and C Programmers By Ray Duncan PROGRAMMING FOR MS-DOS Genealogy of MS-DOS MS-DOS in Operation Structure of MS-DOS Application Programs MS-DOS Programming Tools Keyboard and Mouse Input Video Display Printer and Serial Port File Management Volumes and Directories Disk Internals Memory Management The EXEC Function Interrupt Handlers Installable Device Drivers Filters Compatibility and Portability MS-DOS FUNCTIONS REFERENCE IBM ROM BIOS AND MOUSE FUNCTIONS REFERENCE LOTUS/INTEL/MICROSOFT EMS FUNCTIONS REFERENCE
Platform: | Size: 602112 | Author: alan | Hits:

[VHDL-FPGA-Verilogrom

Description: 只读存储器VHDL代码,可运行实现,已用quartusII6.0验证-Read-only memory VHDL code can be run to achieve has been used to verify quartusII6.0
Platform: | Size: 1024 | Author: 干璐 | Hits:

[VHDL-FPGA-Verilogrom

Description: 使用Altera公司的FPGA进行VHDL开发。使用quartus2 9.0软件在EP1C3T144C8开发板上用硬件描述语言实现一个ROM存储器。-The use of Altera' s FPGA-VHDL development. Use quartus2 9.0 software EP1C3T144C8 development board with hardware description language to achieve a ROM memory.
Platform: | Size: 179200 | Author: Daisy | Hits:

[VHDL-FPGA-Verilogrom

Description: 基于Verilog语言编写的各种只读存储器rom和随机存储器ram-Verilog language based on a variety of read-only memory rom and random access memory ram
Platform: | Size: 704512 | Author: 李辽原 | Hits:

[VHDL-FPGA-Verilogrom

Description: 基于verilog的rom存储器 简单实用 初学者的好材料-Rom memory, based on simple and practical verilog' s good material for beginners
Platform: | Size: 445440 | Author: majianbiao | Hits:
« 12 3 4 5 6 7 8 9 10 »

CodeBus www.codebus.net