Welcome![Sign In][Sign Up]
Location:
Search - lpm_ram

Search list

[VHDL-FPGA-Verilogvga_hex_disp

Description: 该项目可在VGA显示器上显示RAM或ROM中的十六进制数据,使用VerilogHDL语言编写,在QuartusII开发环境下验证。-The Project displays the content of memory cells in the form of hexadecimal numbers. It uses RAM and ROM memory modules available through special functions. This is why before compiling the whole code the user should open mem.v file and change lpm_ram declarations in RAM module and lpm_rom declarations in ROM module into such that are suitable for a particular producer and scheme. There also may appear the necessity of converting .mif files used to memory initialization. The Memory Initialization File is serviced by the Quartus II environment developed by Altera.
Platform: | Size: 18432 | Author: submars | Hits:

[OtherEP1C3_12_7_SPCTR

Description: 基于FPGA的信号采集及频谱分析,用VHDL编写,压缩包里是Quartus下的工程。AD采样用状态机实现,并存入LPM_RAM。设计了一个UART模块(也是状态机实现的),可将数据发到PC机上。-FPGA-based signal acquisition and spectral analysis, prepared with VHDL, Quartus compression bag is the next project. AD sampling state machine used to achieve, and deposited LPM_RAM. The design of a UART module (state machine is realized), the data can be sent to the PC machine.
Platform: | Size: 214016 | Author: deadtomb | Hits:

[VHDL-FPGA-Veriloglpm_ram

Description: 一个基于quartus的LPM_RAM例子,VHDL语言写的,通过仿真测试-Quartus the LPM_RAM based on examples, VHDL language, and through simulation testing
Platform: | Size: 221184 | Author: a64577122 | Hits:

[VHDL-FPGA-Veriloglpm_ram

Description: altera LPM_RAM的使用,有简单的程式和模拟结论.大家写的时候可以参考.-altera LPM_RAM the use of a simple programming and simulation findings. we can refer to when writing.
Platform: | Size: 1024 | Author: tupeng | Hits:

[Windows DevelopFEP1C3_12_7_SP

Description: 基于FPGA的信号采集及频谱分析,用VHDL编写,压缩包里是Quartus下的工程。AD采样用状态机实现现,并存入LPM_RAM。设计了一个UART模块(也是状态机实现的),可将数据发到PC机上。 已通过测试。 -FPGA-based signal acquisition and spectrum analysis, using VHDL prepared compression bag Quartus engineering. AD sampling using the state machine to achieve now, and into the LPM_RAM. Design a UART module (which is also the state machine), the data is sent to the PC. Has passed the test.
Platform: | Size: 215040 | Author: l2003l | Hits:

[VHDL-FPGA-VerilogLPM_RAM

Description: verilog 参数可设置调用模块RAM-verilog parameter can be set to call the module RAM
Platform: | Size: 146432 | Author: water | Hits:

CodeBus www.codebus.net