Welcome![Sign In][Sign Up]
Location:
Search - lpm

Search list

[Other resourcepinglvhecheng

Description: 程序用VHDL实现: 频率合成,DDS 主要调用LPM-procedures using VHDL : frequency synthesis, DDS major call LPM
Platform: | Size: 145599 | Author: 刘赛 | Hits:

[Software EngineeringLPM_canshu

Description: VHDL中LPM(参数化)库中文使用介绍-VHDL (parametric) on the use of Chinese
Platform: | Size: 532480 | Author: 孙彬 | Hits:

[Program doclpm_quick_guide

Description: altera公司的fpga期间的所有lpm模块的快速设计,涵盖了全部的lpm ip模块-altera during the fpga all lpm module rapid design, cover all the ip module lpm
Platform: | Size: 532480 | Author: 江汉 | Hits:

[Embeded-SCM DevelopquartusGuide

Description: 设计输入 ! 多种设计输入方法 – Quartus II • 原理图式图形设计输入 • 文本编辑 – AHDL, VHDL, Verilog • 内存编辑 – Hex, Mif – 第三方工具 • EDIF • HDL • VQM – 或采用一些别的方法去优化和提高输入的灵活性: • 混合设计格式 • 利用LPM和宏功能模块来加速设计输入-design input! Design a variety of input methods-Quartus
Platform: | Size: 844800 | Author: fgghh | Hits:

[2D Graphiclpm

Description: 從網路取得關於自相關log-polar變換的實作。-Obtained from the network on the autocorrelation of the log-polar transform implementations.
Platform: | Size: 3072 | Author: 普娃兒 | Hits:

[Software EngineeringAlter_ppt

Description: 这些ppt文件详细介绍了使用Altera公司FPGA芯片编程时的注意问题,包含时序、EBA、LPM库等,还有一些实用技巧供学习。对于使用Altera FPGA的同志会有帮助,但全部为英文编写,请注意。-These ppt documents detailing the use of Altera Corporation FPGA chip programming attention problems, including the timing, EBA, LPM library, as well as some practical skills for learning. For the use of Altera FPGA comrades will help, but all English, Please note.
Platform: | Size: 2725888 | Author: panyouyu | Hits:

[GDI-Bitmapinter_LPM

Description: intel IPv4 lpm 最长匹配算法-intel IPv4 lpm maximum matching algorithm
Platform: | Size: 115712 | Author: zmuying | Hits:

[VHDL-FPGA-Verilog13

Description: para13: fifo.vhd FIFO(双口RAM) fifo1.vhd FIFO(嵌入式EAB) fifo2.vhd FIFO(LPM)-para13: fifo.vhd FIFO (dual port RAM) fifo1.vhd FIFO (embedded EAB) fifo2.vhd FIFO (LPM)
Platform: | Size: 3072 | Author: libing | Hits:

[VHDL-FPGA-Verilogsine-generator

Description: 原创:采用VHDL语言编写的正弦信号发生器。rom采用quartus自带的lpm生成,可产生正弦波。更改rom内容可改变波形-Original: Using VHDL languages sinusoidal signal generator. rom using Quartus LPM s own generation, can produce sine wave. Rom content changes can change the waveform
Platform: | Size: 675840 | Author: zzwuyu | Hits:

[Special EffectsLPM

Description: 用matlab绘制线性调频信号的时域波形,同时对信号进行频谱分析,并考察采样频率以及信噪比对频谱分析的影响。-Matlab drawing with linear frequency modulation signal time-domain waveform, and spectral analysis of signals and the sampling frequency and signal to noise ratio study of the impact of spectrum analysis.
Platform: | Size: 3072 | Author: holy0615 | Hits:

[VHDL-FPGA-VerilogVHDL

Description: 教你在Quartus II中如何实用LPM库,对与FPGA系统设计有很好指导作用-Teach you how to Quartus II in the LPM utility library, with the FPGA system design have a very good guide
Platform: | Size: 352256 | Author: 钟桂东 | Hits:

[OtherLPM

Description: LPM 是参数可设置模块库Library of Parameterized Modules 的英语缩写,Altera 提供的 可参数化宏功能模块和LPM 函数均基于Altera 器件的结构做了优化设计。在许多实用情况 中,必须使用宏功能模块才可以使用一些Altera 特定器件的硬件功能。例如各类片上存储 器、DSP 模块、LVDS 驱动器、嵌入式PLL 以及SERDES 和DDIO 电路模块等等。这些可 以以图形或硬件描述语言模块形式方便调用的宏功能块,使得基于EDA 技术的电子设计的 效率和可靠性有了很大的提高。设计者可以根据实际电路的设计需要,选择LPM 库中的适 当模块,并为其设定适当的参数,就能满足自己的设计需要,从而在自己的项目中十分方 便地调用优秀的电子工程技术人员的硬件设计成果。 LPM 功能模块内容丰富,每一模块的功能、参数含义、使用方法、硬件描述语言模块 参数设置及调用方法都可以在QuartusⅡ中的Help 中查阅到,方法是选择“Help”菜单中 的“Megafunctions/LPM”命令。-LPM
Platform: | Size: 1526784 | Author: lidandan | Hits:

[Delphi VCLTUS8.0

Description: TUS 8.0 LPM模块功能介绍中文版 功能齐全 速查-TUS 8.0 LPM
Platform: | Size: 15360 | Author: lwcxiaoxiao | Hits:

[OtherLPM

Description: lpm算法用最简单的数据结构,将所有规则按优先级降序排列为一个链表,一个分组与每一个规则一一比较-lpm algorithm is the most simple data structure, all the rules in descending order according to priority as a linked list, a packet with each rule 11 more
Platform: | Size: 250880 | Author: yangzhen | Hits:

[Other Embeded programIAR_MSP430_LPM

Description: MSP430 LPM SOURCE CODE FOR IAR
Platform: | Size: 1024 | Author: dyok | Hits:

[VHDL-FPGA-VerilogLPM

Description: vhdl中LPM的应用编写完成程序,经实验验证没有错误!可以对学习LPM的同学起到引导作用。-vhdl in LPM application written procedure by experimental validation, no errors! Learning LPM students can play a guiding role.
Platform: | Size: 183296 | Author: | Hits:

[Linux-Unixehci-lpm

Description: linux系统上,EHCI HCD LPM支持代码。有需要的朋友可以下载!-linux system, EHCI HCD LPM support code. A friend in need can be downloaded!
Platform: | Size: 1024 | Author: valleyi | Hits:

[VHDL-FPGA-VerilogLPM

Description: 对LPM兆功能单元的lpm_fifo模块进行合理的参数设置,借助仿真手段分析输入、输出端口的功能,并进行简单的说明。-LPM module for lpm_fifo functional unit trillion reasonable set of parameters, with the simulation analysis capabilities means input and output ports, and a simple explanation.
Platform: | Size: 9216 | Author: 李强 | Hits:

[SCMcc1110-lpm-test

Description: CC1110的功耗测试程序,用来测试几种不同LPM模式下的功耗-CC1110 power test program as a basis for testing CC1110 power.
Platform: | Size: 22528 | Author: sony19900107 | Hits:

[Other流水线乘法累加器设计

Description: 调用寄存器LPM,流水线加法器LPM,流水线乘法器LPM等模块实现一个8位流水线乘法累加器。(Call a register LPM, pipelined adder LPM, pipeline multiplier LPM and other modules to achieve a 8 bit pipelined multiplication accumulator.)
Platform: | Size: 961536 | Author: 墨染静然 | Hits:
« 12 3 4 5 »

CodeBus www.codebus.net