Welcome![Sign In][Sign Up]
Location:
Search - lms in vhdl

Search list

[VHDL-FPGA-VerilogFPGA_LMS

Description: VHDL写的LMS算法程序。利用本地正弦信号,根据LMS算法对输入信号进行跟踪。用以产生和输入信号同频同相的本地信号。-VHDL LMS algorithm written procedures. The use of local sinusoidal signal, according to the LMS algorithm for tracking the input signal. Used to produce and the input signal with frequency phase with the local signal.
Platform: | Size: 270336 | Author: 黄鹤 | Hits:

[Special Effects011010

Description: DCT在MATLAB中的实现 试过了,可以用,有详细讲解-DCT in the MATLAB tried to achieve that can be used, explained in detail
Platform: | Size: 3072 | Author: 王芳 | Hits:

[Otherzishiyinglvbodebiyesheji

Description: 论文针对数字通信系统中,由于码间串扰(ISI)和信道加性噪声的干扰,导致信号在接收端产生误码,设计了基于LMS算法的自适应均衡器(滤波器),并通过硬件描述语言VHDL和现场可编程逻辑器件FPGA实现均衡器的硬件实现。是一篇标准的毕业论文,有需要的朋友可以拿来做参考-Thesis for digital communications systems, crosstalk due to inter-symbol (ISI) and additive noise channel interference, leading to signals generated in the receiver error, design algorithm based on LMS adaptive equalizer (filter), and through hardware description languages VHDL and Field Programmable Logic Device FPGA hardware equalizer realize realize. Is a standard thesis, there is a need to make friends can be used as reference
Platform: | Size: 2353152 | Author: YZ | Hits:

[VHDL-FPGA-Verilogadaptive_lms_equalizer_latest.tar

Description: In communication systems channel poses an important role. channels can convolve many different kind of distortions to our information. In perticular wireless channels multipath distortion is sevear. and more sevear is such distortion is random. To handle this, multipath affected channels require Equalizers at receaver end. such equalizer uses different learning Algorithms for identifying channels continuously. This project is VHDL implementation of LMS learning algorithm with pipelined architecture. so this implementation can work with higher data rates with less clock speed requirments and so with less power consumpiton It uses Fixed point arithmatic blocks for filtering so suitable for coustom asic.
Platform: | Size: 14336 | Author: Arun | Hits:

[VHDL-FPGA-Verilogfir_lms

Description: 基于FPGA的自适应滤波器的实现。采用Verilog编程,2阶滤波器。-FPGA-based realization of the adaptive filter. Using Verilog programming, 2-order filter.
Platform: | Size: 12288 | Author: 田文军 | Hits:

[VHDL-FPGA-VerilogAdaptiveLMSequalizer

Description: 通信中的用的LMS均衡算法VHDL实现,代码不长,很容易看懂-Communication with the LMS equalization algorithm to achieve VHDL code is not long, it is easy to understand
Platform: | Size: 3072 | Author: 王王 | Hits:

[VHDL-FPGA-VerilogLMS_filter

Description: verilog HDL 写的LMS滤波器-LMS filter using verilog HDL language
Platform: | Size: 350208 | Author: rayax | Hits:

[VHDL-FPGA-VerilogERROR_COUNTING_BLOCK

Description: vhdl code for error counting blk in lms algorithm
Platform: | Size: 5120 | Author: lekshmi | Hits:

[VHDL-FPGA-VerilogLMS-vhdl-coad-

Description: 基于quartus的LMS 自适应滤波器代码,适合初学者 -The LMS adaptive filter based on quartus code, suitable for beginners
Platform: | Size: 15360 | Author: jialiangquan | Hits:

[VHDL-FPGA-Veriloglms-filter.vhd

Description: LMS filter how to write in VHDL form in simple logic
Platform: | Size: 9216 | Author: suhirdham | Hits:

[VHDL-FPGA-Verilogvhdl_lms

Description: vhdl 语言实现的lms算法的自适应滤波器 两种实现方式 包括改进-VHDL language lms algorithm adaptive filter implemented in two ways including improved
Platform: | Size: 46080 | Author: zhanshen | Hits:

[Software EngineeringNouveau-document-texte

Description: adaptatif filter lms in vhdl
Platform: | Size: 1024 | Author: lolo | Hits:

CodeBus www.codebus.net